Friday, September 30, 2016

Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts”. According to this report, semiconductor equipment suppliers headquartered in Asia will drop from 36.6% of the global wafer front end (WFE) market in 2014.  Revenues generated in U.S. Dollar terms were $10.6 billion out of a global market of $29.2 Billion.


Listed below are the top 10 Asian semiconductor suppliers and their revenue.




































SupplierCountry2014 Revenues

(US$Billions)

Tokyo ElectronJapan4.7
Screen Semiconductor SolutionsJapan1.1
Hitachi High-TechnologiesJapan0.9
NikonJapan0.8
Hitachi Kokusai ElectricJapan0.6
SEMESKorea0.5
DaifukuJapan0.3
NuFlare TechnologyJapan0.3
Tokyo SeimitsuJapan0.3
Murata MachineryJapan0.3
Source: The Information Network

“Share of revenues will drop to 33.4% in 2015, noted Dr. Robert Castellano, president of The Information Network, primarily due to the strong U.S. dollar, which has appreciated nearly 15% from 2014 levels.”


The report notes, for example, that Japan’s Tokyo Electron exhibited revenue growth of 16.3% in Yen for the first three quarters of CY 2015.  However, when converted to US Dollars, the universally accepted currency historically used for market share data in the semiconductor industry, revenues show a revenue growth of just 1.2%.


“The strong US Dollar not only impacts the Japanese suppliers, but other Asian suppliers and even European suppliers,” added Dr. Castellano.


Asian Semiconductor Equipment SuppliersDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts“.



Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

Virtual Reality Hardware to Soar Past the $2 Billion Mark in 2016

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Worldwide Augmented and Virtual Reality Hardware Forecast, 2016–2020“. Worldwide shipments of Virtual Reality (VR) hardware will skyrocket in 2016, with total volumes reaching 9.6 million units. Led by key products from Samsung, Sony, HTC, and Oculus, the category should generate hardware revenues of approximately $2.3 billion in 2016. While VR will drive nearly all of the hardware volume in 2016, Augmented Reality (AR) hardware is forecast to ramp up over the next few years. According to the first worldwide AR/VR forecast, the combined device markets will see hardware shipments surge past 110 million units in 2020.



This report identifies three major device categories across the Augmented and Virtual Reality markets. They include: Screenless viewers that use the screen of specific smartphones to drive an AR/VR experience (example: Samsung Gear VR); Tethered Head Mounted Displays (HMDs) that utilize an existing compute device such as a PC, game console, or even a smartphone to drive a head-worn display (example: Oculus Rift); and Standalone HMDs that integrate processing within the head-worn display itself (example: Microsoft HoloLens). IDC is specifically not forecasting Google Cardboard-based products in its totals, nor any other viewer accessory that lacks electronics.


“In 2016, the first major VR Tethered HMDs from Oculus, HTC, and Sony should drive combined shipments of over 2 million units,” said Tom Mainelli, vice president for Devices & Displays at IDC. “When you combine this with robust shipments of screenless viewers from Samsung and other vendors launching later this year, you start to see the beginning of a reasonable installed base for content creators to target.”


“Video games will clearly be the lead rationale for people to pick up an Oculus Rift, HTC Vive, or PlayStation VR this year,” added Lewis Ward, research director of Gaming. “While there have been some launch window hardware shipment hiccups that must be addressed near-term, I’m confident that they will be ironed out before the holiday season. The addition of exciting new titles will lead to a new wave of VR HMD hardware interest among those buying for themselves or family members and friends.”


IDC expects AR hardware to take longer to bring to market. “While development kits from players such as Microsoft, Meta, and others point to a strong future in AR hardware, these devices are dramatically harder to produce than VR products,” said Mainelli. “Doing this right is more important than doing it fast, and we urge the industry to continue its slow and steady approach to hardware development here, as AR is going to have a profound impact on the way we interact with technology and the way we do our jobs for many years to come. In the meantime, we expect companies to begin experimenting with AR software on devices already in use: smartphones and tablets.”


Virtual Reality Hardware to Soar Past the $2 Billion Mark in 2016
















Worldwide Augmented and Virtual Reality Hardware Shipments and 5-Year CAGR (shipments in millions)
Product Category2016 Shipments2020 Shipments2016-2020 CAGR
Virtual Reality Hardware9.664.8183.8%
Augmented Reality Hardware0.445.6189.8%
Source: IDC, April 2016

Source: IDC, April 2016

Virtual Reality Hardware ForecastThe new forecast, Worldwide Augmented and Virtual Reality Hardware Forecast, 2016–2020, provides shipment data for the three major device types, as well as average selling price (ASP) and revenues projections. The report also offers consumer and commercial shipment data.


 



Virtual Reality Hardware to Soar Past the $2 Billion Mark in 2016

Wednesday, September 28, 2016

Conductive Inks and Pastes Markets - New Battlegrounds are Emerging

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Conductive Ink Markets 2016-2026: Forecasts, Technologies, Players“. Conductive inks and pastes remains one of the largest sectors in all of printed electronics. The conductive inks and pastes market will reach nearly $1.7b in 2026 at current metal prices. Micro-sized silver conductive pastes will dominate the market, controlling nearly the entire market in 2016. Silver nanoparticles will however become increasingly competitive, finding use in a range for emerging applications sectors to become an $80m market in 2026.



Copper will remain a comparatively immature technology but will achieve limited success as novel curing systems are installed to open the door to copper ink sales.The solar panel industry will be 1.5 k tonne market in 2016 for screen-printed firing-type conductive pastes. At the paste level, a new group of suppliers will soon come to dominate this business whilst at the powder level the users will force through a more diversified supplier base.


The touch screen edge electrode market will continue its decline. The linewidth-over-spacing (L/S) has decreased to 20/20, pushing screen printing with standard PTFs beyond its limits and opening the door to photocurable pastes. Etching-based techniques will find additional opportunities as the bezel is further narrowed whilst standard PTFs will retain some share in the low-cost end of the market.Sensors such as car occupancy sensors, printed piezoresistive sensors and some versions of glucose sensors will remain a substantial niche market for conductive pastes, as will the automotive sector with its mixed grouping of stagnant traditional and high-growth emerging applications. HF and UHF RFID antenna markets will grow but will see the relative market share of ink types transform over the coming decade. 3D antennas made using aerosol printing will continue gaining traction.


This approach will compete head-on with MID (molded interconnect devices) techniques and will become a substantial player in the consumer electronics market. Metal mesh as an ITO alternative will make slow inroads despite the pending consolidation period in the TCF industry, creating demand for silver nanoparticle used in filling or printing fine lines.New markets will emerge and create new performance requirements. In-mould electronics will demand inks that can stretch and survive the thermoforming/molding process.


Electronic textiles will require inks that are truly stretchable and withstand repeated washing cycles. 3D printed electronics and desktop PCB printers will need the high conductivity and low temperature inks to open vast new prototyping possibilities for 3D printers and circuit designers. All these markets are poised for rapid growth provided technology innovations can satisfy the market pull.Ten-year market projections split by application. Please contact us for the exact values. Note that ink selling prices have declined thanks to a decline in raw metal prices but also pressured margins, resulting in a decrease in our revenue forecasts.


Conductive Ink/Paste Market Report Provides:


1. Ten year market forecasts by technology market share, volume demand and market value in 14 market segments including:


  • automotive

  • touch

  • photovoltaics

  • RFID

  • sensors

  • smart packaging

  • logic and memory, etc.

2. Comprehensive technology assessment, benchmarking and competitive landscaping for silver flake pastes, silver nanoparticle inks, copper nano and flake pastes and inks, graphene and carbon nanotubes, PEDOT, and silver nanowires.


3. Detailed application assessment including dynamics and drivers.


4. Competitive business intelligence on all key industry players including over 50 profiles based on direct interviews and/or visits.


10-year CAGR rates for conductive inks and paste market


Conductive Inks Market

Source: Conductive Ink Markets 2016-2026: Forecasts, Technologies, and Players


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report: Conductive Ink Markets 2016-2026: Forecasts, Technologies, Players.



Conductive Inks and Pastes Markets - New Battlegrounds are Emerging

Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts”. According to this report, semiconductor equipment suppliers headquartered in Asia will drop from 36.6% of the global wafer front end (WFE) market in 2014.  Revenues generated in U.S. Dollar terms were $10.6 billion out of a global market of $29.2 Billion.


Listed below are the top 10 Asian semiconductor suppliers and their revenue.




































SupplierCountry2014 Revenues

(US$Billions)

Tokyo ElectronJapan4.7
Screen Semiconductor SolutionsJapan1.1
Hitachi High-TechnologiesJapan0.9
NikonJapan0.8
Hitachi Kokusai ElectricJapan0.6
SEMESKorea0.5
DaifukuJapan0.3
NuFlare TechnologyJapan0.3
Tokyo SeimitsuJapan0.3
Murata MachineryJapan0.3
Source: The Information Network

“Share of revenues will drop to 33.4% in 2015, noted Dr. Robert Castellano, president of The Information Network, primarily due to the strong U.S. dollar, which has appreciated nearly 15% from 2014 levels.”


The report notes, for example, that Japan’s Tokyo Electron exhibited revenue growth of 16.3% in Yen for the first three quarters of CY 2015.  However, when converted to US Dollars, the universally accepted currency historically used for market share data in the semiconductor industry, revenues show a revenue growth of just 1.2%.


“The strong US Dollar not only impacts the Japanese suppliers, but other Asian suppliers and even European suppliers,” added Dr. Castellano.


Asian Semiconductor Equipment SuppliersDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts“.



Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

Monday, September 26, 2016

2016 Vertical Markets for IoT Connector Products

ELECTRONICS.CA PUBLICATIONS announces the availability of a new five chapter, 162 page research report analyzing the impact the Internet of Things (IoT) will have on the connector market. This first volume, which focuses on the consumer, computer and telecom market sectors, provides a look at the applications and connectors used in these applications that will most be influenced by the growth of the IoT.


Some think that the Internet of Things (IoT) term was coined rather recently, but it was used as early as 2009 by Kevin Ashton to describe the new idea of linking RFID in Proctor & Gamble’s supply chain to the Internet. He used it to describe his vision of computers keeping track of and counting everything, as opposed to people feeding this information into computers by typing, pressing a record button, taking a digital picture or scanning a bar code. Ashton’s vision is this: “If we had computers that knew everything there was to know about things—using data they gathered without any help from us—we would be able to track and count everything, and greatly reduce waste, loss and cost. We would know when things needed replacing, repairing or recalling, and whether they were fresh or past their best. We need to empower computers with their own means of gathering information, so they can see, hear and smell the world for themselves, in all its random glory. RFID and sensor technology enable computers to observe, identify and understand the world—without the limitations of human-entered data.”


While the IoT is not quite at the level that Ashton envisioned yet, it is well on its way with a plethora of “things” being tracked. These “things” range from a Fitbit you wear on your wrist to track your health and fitness to tracking farmers’ tractors and everything in between. These “things” are pervasive in our everyday lives now and new ones are being invented at increasing rates.


The goal of this report is to explain the different IoT vertical markets and technologies and to detail the effects these may have on the connector industry. Chapter 2 of the report covers definitions that will be used throughout the report, and Chapter 3 highlights the wireless technologies and trends in the IoT market specific to telecommunications, computer and consumer devices. Detailed in Chapter 4 are IoT devices and applications and the specific connector products that are affected by them. In Chapter 5, five-year forecasts for these connectors segmented by region, market segment and Bishop’s traditional connector categories are provided. In addition, included are forecasts for specific connector product types that are poised for growth due to IoT applications. These include modular jacks used in networking applications; board-mount high-speed I/O; USB; micro-coax for smartphones; FPC/FFC used in portable devices; and HDMI used in HDTVs and displays.


The total market for IoT connector products sold into computer, consumer and telecom applications reached more than $14.5 billion in 2015 and is anticipated to grow significantly by 2020, resulting in substantial increases in a number of key connector products.


IoT Connector Products


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Report Contents: The Internet of Things Impact on the Connector Industry.



2016 Vertical Markets for IoT Connector Products

Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts”. According to this report, semiconductor equipment suppliers headquartered in Asia will drop from 36.6% of the global wafer front end (WFE) market in 2014.  Revenues generated in U.S. Dollar terms were $10.6 billion out of a global market of $29.2 Billion.


Listed below are the top 10 Asian semiconductor suppliers and their revenue.




































SupplierCountry2014 Revenues

(US$Billions)

Tokyo ElectronJapan4.7
Screen Semiconductor SolutionsJapan1.1
Hitachi High-TechnologiesJapan0.9
NikonJapan0.8
Hitachi Kokusai ElectricJapan0.6
SEMESKorea0.5
DaifukuJapan0.3
NuFlare TechnologyJapan0.3
Tokyo SeimitsuJapan0.3
Murata MachineryJapan0.3
Source: The Information Network

“Share of revenues will drop to 33.4% in 2015, noted Dr. Robert Castellano, president of The Information Network, primarily due to the strong U.S. dollar, which has appreciated nearly 15% from 2014 levels.”


The report notes, for example, that Japan’s Tokyo Electron exhibited revenue growth of 16.3% in Yen for the first three quarters of CY 2015.  However, when converted to US Dollars, the universally accepted currency historically used for market share data in the semiconductor industry, revenues show a revenue growth of just 1.2%.


“The strong US Dollar not only impacts the Japanese suppliers, but other Asian suppliers and even European suppliers,” added Dr. Castellano.


Asian Semiconductor Equipment SuppliersDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts“.



Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

Friday, September 23, 2016

Download IPC Standards - Everything You Need From Start To Finish

To achieve a high quality end product and maintain a competitive position in the marketplace, you need to infuse quality throughout the manufacturing process.


Did you know there is an IPC standard associated with nearly every step of printed circuit board production and assembly?  From design and purchasing to assembly and acceptance, Electronics.ca Publications offers IPC Standards to help you assure superior quality, reliability and consistency in the electronic assemblies that go into your product. Download IPC Standards Spec Tree – PDF file.


As with the manufacturing process — which uses a step-by-step approach – IPC standards also build upon one another. To achieve your desired results, it’s important to implement the appropriate IPC standards associated with each step of production.



Why Use IPC Standards in Your Manufacturing Process?


  • Gain Control Over End Product Quality and Reliability — Quality and reliability are the cornerstones of competing in the marketplace and critical to your company’s reputation and profitability. By implementing IPC standards throughout the manufacturing process, you help ensure better performance, longer life and compliance with lead-free regulations.

  • Improve Communication with Suppliers and Employees — IPC standards are the standards that your competitors, suppliers and EMS providers use. Working from an established IPC standard helps all of you to “speak the same language” — the language of the global electronic industry. In addition, using IPC standards eliminates confusion for employees, because they know they need to perform to an established industry standard.

  • Help Contain Costs — Ensuring that your design and the bare boards you purchase comply with IPC standards allows you to produce electronic assemblies that meet stringent quality tests down the line, minimizing costly delays, rework and scrap.

The Most Popular IPC Documents are available from Electronics.ca Publications in PDF Format


Download IPC Standards


IPC A-610F – Acceptability of Electronic Assemblies
IPC-A-610 is the most widely used electronics assembly standard in the world. A must for all quality assurance and assembly departments.


IPC J-STD-001F – Requirements for Soldered Electrical and Electronic Assemblies
J-STD-001 Requirements for Soldered Electrical and Electronic Assemblies has emerged as the preeminent authority for electronics assembly manufacturing. The standard describes materials, methods and verification criteria for producing high quality soldered interconnections. The standard emphasizes process control and sets industry-consensus requirements for a broad range of electronic products. This revision now includes support for lead free manufacturing.


IPC A-620B – Requirements and Acceptance for Cable and Wire Harness Assemblies
Revision B is now available for the only industry-consensus standard for Requirements and Acceptance of Cable and Wire Harness Assemblies.


IPC-DRM-PTH-E
Now updated to Revision D of the latest IPC-A-610E and J-STD-001E – our Training & Reference Guide illustrates critical acceptance criteria for the evaluation of through-hole solder connections.


IPC-DRM-SMT-E
Useful as a training aid in the classroom or on the shop floor, DRM-SMT-E contains computer generated color illustrations of Chip component, Gull Wing and J-Lead solder joints.


IPC-J-STD-033C
J-STD-033 Provides Surface Mount Device manufacturers and users with standardized methods for handling, packing, shipping and use of moisture/reflow sensitive SMDs.


IPC-J-STD-020D-1
IPC-J-STD-020D-1 standard identifies the classification levels of nonhermetic solid state surface mount devices that are sensitive to moisture-induced stress.


J-STD-075

J-STD-075 provides test methods to classify worst-case thermal process limitations for electronic components. Classification is referenced to common industry wave and reflow solder profiles including lead-free processing.


IPC Collections:


IPC-C-103 – Electronics Assembly Standards Collection


IPC-C-1000 Essential Document Collection for Board Design, Assembly and Manufacture


IPC-C-108 Cleaning Guides and Handbooks Collection


Through Electronics.ca you can order IPC standards for printed circuit board design and manufacturing and electronics assembly, including handbooks, guidelines and IPC training videos.



Download IPC Standards - Everything You Need From Start To Finish

World PCB Production in 2014 Estimated at $60.2 Billion

The world market for printed circuit boards (PCBs) reached an estimated $60.2 billion in value in 2014, growing just 0.7 percent in real terms, according to IPC’s World PCB Production Report for the Year 2014. Production growth in China, Thailand and Vietnam compensated for declining PCB production in most other regions. Developed by a team of the world’s leading PCB industry analysts, the annual study is the definitive source of PCB production data, indicating the volumes and types of PCBs being made in the world’s major producing countries.


The report contains estimates of 2014 PCB production value by nine product categories and by 30 countries or sub-regions. Four categories of rigid PCBs, three categories of flexible circuits, and IC substrates are covered. The standard multilayer rigid PCB values are further segmented by those having microvia structures and those with non-microvia structures. The report also includes updates on metal-core PCBs, as well as regional trends and historical data on regional shifts in PCB production.


The data show that the worldwide rigid PCB market grew modestly in 2014, while flexible circuit growth was flat. Regional trends are also examined, including China’s slowing production growth and the spectacular growth in the PCB industries of Thailand and Vietnam.


“PCB production is an international business and the landscape continues to change,” says Sharon Starr, IPC director of market research. “Companies based in Taiwan, Japan and South Korea, are responsible for more than two-thirds of world PCB production. These and other companies around the world are powering the impressive growth of PCB production in southeast Asia.”


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Report Contents: World Printed Circuit Borads Production Report for the Year 2014.


 


 


 



World PCB Production in 2014 Estimated at $60.2 Billion

Wednesday, September 21, 2016

Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts”. According to this report, semiconductor equipment suppliers headquartered in Asia will drop from 36.6% of the global wafer front end (WFE) market in 2014.  Revenues generated in U.S. Dollar terms were $10.6 billion out of a global market of $29.2 Billion.


Listed below are the top 10 Asian semiconductor suppliers and their revenue.




































SupplierCountry2014 Revenues

(US$Billions)

Tokyo ElectronJapan4.7
Screen Semiconductor SolutionsJapan1.1
Hitachi High-TechnologiesJapan0.9
NikonJapan0.8
Hitachi Kokusai ElectricJapan0.6
SEMESKorea0.5
DaifukuJapan0.3
NuFlare TechnologyJapan0.3
Tokyo SeimitsuJapan0.3
Murata MachineryJapan0.3
Source: The Information Network

“Share of revenues will drop to 33.4% in 2015, noted Dr. Robert Castellano, president of The Information Network, primarily due to the strong U.S. dollar, which has appreciated nearly 15% from 2014 levels.”


The report notes, for example, that Japan’s Tokyo Electron exhibited revenue growth of 16.3% in Yen for the first three quarters of CY 2015.  However, when converted to US Dollars, the universally accepted currency historically used for market share data in the semiconductor industry, revenues show a revenue growth of just 1.2%.


“The strong US Dollar not only impacts the Japanese suppliers, but other Asian suppliers and even European suppliers,” added Dr. Castellano.


Asian Semiconductor Equipment SuppliersDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Asian Semiconductor Equipment Suppliers: Markets, Market Shares, Market Forecasts“.



Asian Semiconductor Equipment Suppliers to Lose Market Share in 2015

Thermal Management Technologies Market Report

According to a new report entitled “The Market for Thermal Management Technologies“, grow from about $10.7 billion in 2015 to nearly $11.2 billion in 2016 and $14.7 billion by 2021, representing a compound annual growth rate (CAGR) of 5.6% between 2016 and 2021.


Thermal Management Technologies Report Provides:


  • An overview of the global markets for thermal management technologies as well as hardware, software, interface products, and substrates.

  • Analyses of global market trends, with data from 2015 and 2016, and projections of CAGRs through 2021.

  • Discussion of individual materials, hardware, and software product segments in terms of market size and revenue trends.

  • Forecasts for the most important applications by product.

  • Examination of the competitive aspects of each product segment, along with several successful suppliers’ strategies in the market.

  • A relevant patent analysis.

  • Profiles of leading companies in the industry.

The report addresses the global market for thermal management products during the period from 2015 through 2021, including:


  • Thermal management hardware

  • Thermal management software

  • Thermal management interface products

  • Thermal management substrates

The report format includes the following major elements:


  • Executive summary

  • Thermal management industry overview

  • Analysis of thermal management products: major segments, subsegments, technology trends, applications, world market estimates, and projections

  • Presentation of industry structure: market shares and company profiles

  • Patent analysis

The overall goal of this report is to provide an analysis of the most recent developments and current trends in the global thermal management marketplace.



Thermal Management Technologies Market Report

Monday, September 19, 2016

Surging Demand for CCTV and Video Surveillance Systems Drives the Electronic Security Systems Market

ELECTRONICS.CA PUBLICATIONS announces the availability of new market research studies which cover CCTV and Video Surveillance Systems, and comprehensive analysis of industry segments, trends, growth drivers, market share, size and demand forecasts on the Global Electronic Security Systems (ESS) market. The global market for Electronic Security Systems is projected to exceed US$80 billion by 2020, driven by the surging demand for CCTV and video surveillance systems and the critical need to restrict unauthorized entry and access amid escalating security concerns. 


Electronic Security Systems, CCTV and Video Surveillance Market


Electronic Security represents the use of technology and electronic devices for ensuring safety and security of human life, physical assets, and business operations by tracking and preventing unauthorized access to restricted premises, sensitive data, or high value assets. As key enablers of advanced electronic security, alarms, electronic access controls systems (EACS) and CCTV and Video surveillance systems have been well received by security conscious individuals, commercial establishments, and governments across the world. Rise in terrorist attacks, vandalism, campus violence, and the resulting need for personal safety, and security at public places such as transits, city centers, educational institutions, as well as borders have been driving the ESS market over the years. Other factors benefiting market growth include heightened levels of fear over security among individuals, stringent regulatory mandates, recovering new building constructions, and surging demand for technologically superior yet cost effective products.


Future growth in the market will be driven by societal changes, which will continue to trigger security threats like terrorist attacks, racism, and fascism. Also, the changing nature of crime in the form of online fraud, identity theft, internet related crimes, property theft, and vandalism will throw the spotlight on the need for advanced electronic security solutions such as digital CCTV, IP based video surveillance, remote-monitoring systems, and IP based access control systems. Falling prices; ever expanding product range; favourable legal, social and political perspectives; migration from analog to IP-based video surveillance; surging investments in government security projects; rapid proliferation of HD CCTVs, rising need to restrict unauthorized entry and access; integration of multiple technologies; expanding applications of biometrics EACS; and the power of cloud computing in enabling security software as a service, are also expected to benefit market expansion in a big way in the coming years.


As stated by the new market research report on Electronic Security Systems (ESS), the United States represents the largest market worldwide, followed closely by Europe. Rise in events of security infringement, significant upgrading and integration of highly advanced security systems by commercial and residential buildings, and rising government and enterprise focus on safeguarding human life, physical and digital assets, and infrastructure, represent key growth drivers in developed markets. Asia-Pacific ranks as the fastest growing market with a CAGR of 14% over the analysis period. Growing prominence of Asian countries as a manufacturing hub for electronic security systems, robust construction activity driven by healthy gains in GDP growth, rising disposable incomes of an expanding base of middle class population, and growing number of civil infrastructure projects, among others, represent key growth drivers in the region.


Key players covered in the report include ADT LLC, Allegion plc, ASSA ABLOY AB, Axis Communications AB, Bosch Security Systems, Changzhou Minking Electronics Co., Ltd., Fermax Electronica S.A.U., Global Security Solutions, Gunnebo AB, Hanwha Techwin, Hikvision Digital Technology Co., Ltd., Honeywell International Inc., Huviron Co., Ltd., Identive Group, Inc., Johnson Controls, Inc., Kaba Group, Mobotix AG, Panasonic Corporation, Schneider Electric, SAFRAN Group, Sony Electronics, Inc., Swann Communications Pty. Ltd., Toshiba America, Inc., Tyco International Ltd., UTC Building and Industrial Systems, Yoko Technology Corp., and Zhejiang Dahua Technology Co., Ltd., among others.


The research report provides a comprehensive review of market trends, issues, drivers, mergers, acquisitions and other strategic industry activities of global companies. The report provides market estimates and projections in value for the US, Canada, Japan, Europe (France, Germany, Italy, UK, Spain, Russia, and Rest of Europe), Asia-Pacific (China, India, and Rest of Asia-Pacific), Latin America (Brazil, and Rest of Latin America), and Rest of World. Product segments analyzed in the report include Alarms, Electronic Access Control Systems (EACS), CCTV and Video Surveillance Systems, and Others.


Electronic Security Systems Market


Details of  2 new reports, table of contents and ordering information can be found on Electronics.ca Publications’ web site.

View Report Contents for:


 



Surging Demand for CCTV and Video Surveillance Systems Drives the Electronic Security Systems Market

Commercially Significant Market for Graphene Products to Develop Between 2015 and 2020

ELECTRONICS.CA PUBLICATIONS, the electronics industry market research and knowledge network, announces the availability of a new report entitled “Graphene: Technologies, Applications and Markets“. Although the nascent commercial market for graphene-based products currently is very small, between 2015 and 2025 the market should achieve unprecedented growth rates through technological advancements. New report reveals that increasing patent activity suggests technology trends are under way, ripening the market for explosive future growth.



Graphene is a sheet of carbon atoms bound together with double electron bonds in a thin film only one atom thick. The atoms in graphene are arranged in a honeycomb-style lattice pattern, an arrangement that provides strength, flexibility and electrical conductivity. The basic structural element of several forms of carbon, including graphite, carbon nanotubes and fullerenes, graphene has opened up new horizons for high-energy particle physics research and electronic, optical and energy applications.


Potential electronics applications of graphene include ultra-small transistors, super-dense data storage, touchscreens and wearable electronics. In the energy field, potential applications include ultracapacitors to store and transmit electrical power as well as highly efficient solar cells.


Global Market for Graphene


BCC research group expects a commercially significant market for graphene products to develop between 2015 and 2020, when the market is projected to be worth more than $310.4 million. The graphene market should continue to grow rapidly after 2020, approaching $2 billion by 2025.


Graphene printed electronics currently account for virtually all of the (very small) commercial market for graphene technologies. By 2020, structural materials should lead the market (23.2% market share) followed by displays (16.9% market share), graphene capacitors (16.9% market share) and high-performance computing applications (10.5% market share). Capacitors are projected to account for the largest share (31.6%) of the market for graphene technologies by 2025.


One challenge of chemical vapor deposition (CVD) for the production of graphene is removing the graphene film from the metal substrate without contaminating it or ruining it. Several groups of researchers are working on solutions to this challenge. One of the most promising potential applications foreseen for this technology is the production of transparent electrodes for electronics screens.


In a field where many products and applications are still under development, an analysis of recent patents granted is a useful indicator of technology trends that will affect the graphene market in the mid-to-long term.


The number of U.S.patents granted for graphene-related inventions has been growing over the last 10 years, from four patents in 2005 to 309 in 2014 and 386 in just the first 10 months of 2015. Among the 355 patents issued for graphene applications, structural materials account for the largest number of patents identified. This trend is a clear indication of the growing interest in graphene.


Graphene: Technologies, Applications and Markets examines markets for graphene, with specific coverage of graphene technologies and applications. Analyses of global market drivers and trends, with data from 2014, estimates for 2015, and projections of CAGRs through 2020, and through 2025, are provided.


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View the report:Graphene: Technologies, Applications and Markets“.



Commercially Significant Market for Graphene Products to Develop Between 2015 and 2020

Friday, September 16, 2016

European Manufacturing Spotlight: Trends, Innovations, and Growth

IPC President and CEO John Mitchell discusses the growth of the European manufacturing industry and how IPC supports IPC members through standards, education and advocacy efforts.



https://www.youtube.com/watch?v=N07iGd5zbsI


To achieve a high quality end product and maintain a competitive position in the marketplace, you need to infuse quality throughout the manufacturing process.


Downlaod ipc standardsDid you know there is an IPC standard associated with nearly every step of printed circuit board production and assembly?  From design and purchasing to assembly and acceptance, Electronics.ca Publications offers IPC Standards to help you assure superior quality, reliability and consistency in the electronic assemblies that go into your product. Download IPC Standards Spec Tree – PDF file.


As with the manufacturing process — which uses a step-by-step approach – IPC standards also build upon one another. To achieve your desired results, it’s important to implement the appropriate IPC standards associated with each step of production.




Download IPC Standards

IPC Standards are available from Electronics.ca Publications in English, German, French, Spanish, Chinese, Japanese, and other languages.


Download IPC Standards Spec Tree – PDF File


Why Use IPC Standards in Your Manufacturing Process?


  • Gain Control Over End Product Quality and Reliability — Quality and reliability are the cornerstones of competing in the marketplace and critical to your company’s reputation and profitability. By implementing IPC standards throughout the manufacturing process, you help ensure better performance, longer life and compliance with lead-free regulations.

  • Improve Communication with Suppliers and Employees — IPC standards are the standards that your competitors, suppliers and EMS providers use. Working from an established IPC standard helps all of you to “speak the same language” — the language of the global electronic industry. In addition, using IPC standards eliminates confusion for employees, because they know they need to perform to an established industry standard.

  • Help Contain Costs — Ensuring that your design and the bare boards you purchase comply with IPC standards allows you to produce electronic assemblies that meet stringent quality tests down the line, minimizing costly delays, rework and scrap.

IPC on European ManufacturingThe Most Popular IPC Documents are available from Electronics.ca Publications in PDF Format


Download IPC Standards


IPC A-610F – Acceptability of Electronic Assemblies
IPC-A-610 is the most widely used electronics assembly standard in the world. A must for all quality assurance and assembly departments.


IPC J-STD-001F – Requirements for Soldered Electrical and Electronic Assemblies
J-STD-001 Requirements for Soldered Electrical and Electronic Assemblies has emerged as the preeminent authority for electronics assembly manufacturing. The standard describes materials, methods and verification criteria for producing high quality soldered interconnections. The standard emphasizes process control and sets industry-consensus requirements for a broad range of electronic products. This revision now includes support for lead free manufacturing.


IPC A-620B – Requirements and Acceptance for Cable and Wire Harness Assemblies
Revision B is now available for the only industry-consensus standard for Requirements and Acceptance of Cable and Wire Harness Assemblies.


IPC-DRM-PTH-E
Now updated to Revision D of the latest IPC-A-610E and J-STD-001E – our Training & Reference Guide illustrates critical acceptance criteria for the evaluation of through-hole solder connections.


IPC-DRM-SMT-E
Useful as a training aid in the classroom or on the shop floor, DRM-SMT-E contains computer generated color illustrations of Chip component, Gull Wing and J-Lead solder joints.


IPC-J-STD-033C
J-STD-033 Provides Surface Mount Device manufacturers and users with standardized methods for handling, packing, shipping and use of moisture/reflow sensitive SMDs.


IPC-J-STD-020D-1
IPC-J-STD-020D-1 standard identifies the classification levels of nonhermetic solid state surface mount devices that are sensitive to moisture-induced stress.


J-STD-075

J-STD-075 provides test methods to classify worst-case thermal process limitations for electronic components. Classification is referenced to common industry wave and reflow solder profiles including lead-free processing.


IPC Collections:


IPC-C-103 – Electronics Assembly Standards Collection


IPC-C-1000 Essential Document Collection for Board Design, Assembly and Manufacture


IPC-C-108 Cleaning Guides and Handbooks Collection


Through Electronics.ca you can order IPC standards for printed circuit board design and manufacturing and electronics assembly, including handbooks, guidelines and IPC training videos.


Learn more about European manufacturing market and publications that provide informed perspective and relevant analysis of emergent technologies.



European Manufacturing Spotlight: Trends, Innovations, and Growth

Internet of Things Spending by Vertical Market

ELECTRONICS.CA PUBLICATIONS, the electronics industry market research and knowledge network, announces the availability of a new IDC report entitled “Worldwide Internet of Things Spending by Vertical Market 2015–2018 Forecast“, which defines the IT opportunity for  all vertical markets that comprise  the burgeoning Internet of Things market. The new report segments the IoT market as well as provides a forecast that illustrates which vertical industries show the greatest market opportunity over the next several years.


As the Internet of Things IoT market starts to coalesce in both concept and solution offering, IDC recommends vendors take the next step and look at this huge IT opportunity in a more realistic and valuable framework. As exciting as the overall opportunity for the IoT market currently exists, understanding the vertical ramifications is paramount. All of the greatest IoT IT opportunities — from the connected home, smart meters, the connected car, and smart grid to personal wellness and connected health — are driven from a vertical market perspective.


The Internet of Things market must be understood in terms of vertical markets because the value of IoT is based on individual use cases across all markets. Successful sales and marketing efforts by vendors will be based on understanding the most lucrative verticals that offer current growth and future potential and then creating solutions for specific use cases that address industry-specific business processes.


IDC defines the Internet of Things concept as a wired or wireless network connecting devices, or “things,” that is characterized by autonomous provisioning, management, and monitoring. IoT already impacts our everyday life down to the smallest processes. The vertical opportunity that arises from IoT is already in play, but only if the need for vertical expertise is recognized and offered. Realizing the existence of vertical opportunity is the first step to understanding the impact — and therefore market opportunity that exists — for IT vendors. In addition:


  • IDC has looked at the components, processes, and IT support for IoT and expects the technology and services revenue to expand from $4.8 trillion in 2012 to $7.3 trillion by 2017 at an 8.8% CAGR, with the greatest opportunity initially in the consumer, discrete manufacturing, and government vertical industries.

  • The IoT/M2M market is growing quickly, but the development of this market will not be consistent across all vertical markets. Industries that already “understand” IoT will see the most immediate growth, such as industrial production/automotive, transportation, and energy/utilities. However, all verticals will reflect great opportunity.

  • IoT is a derivative market containing many elements, including horizontal IT components as well as vertical and industry-specific IT elements. It is these vertical components where IT vendors will have to distinguish themselves to address industry-specific IoT needs.

  • IoT opens up many IT vendors to the consumer market, providing B2B2C services to connect and run homes and automobiles — all the places that electronic devices will have a networking capability.

According to the report, the first step to understand how vendors can position themselves will be to understand the components of the IoT/M2M IT ecosphere. Because this is a derivative market, there are many opportunities for vendors to offer parts or product suites that cover the needed IoT IT set. And vendors will have incentive to do so due to rapid growth which will occur as industries see the convenience, productivity, and efficiency that IoT brings to business processes. Accordingly, while horizontal-focused IT vendors will look to offer IoT solutions that appeal to many industries, there will also be impetus to offer vertical-focused solutions that make IoT tangible for both industries applications (M2M) and consumer needs (B2B2C).


The IoT market will greatly impact and offer the potential for vertical-aligned businesses to improve both performance and profitability. The IoT solutions space will expand exponentially and will offer every business endless IoT-focused solutions. The initial strategy of businesses should be to avoid choosing IoT-based solutions that will solve only immediate concerns and lack ‘staying power.


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View the report: “”Worldwide Internet of Things Spending by Vertical Market 2015–2018 Forecast



Internet of Things Spending by Vertical Market

Wednesday, September 14, 2016

Semiconductor Manufacturing Industry Analysis

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“, extending The Information Network’s semiconductor manufacturing industry analysis. This report profiles key semiconductor equipment suppliers such as Applied Materials, Axcelis Technologies, KLA-Tencor, Lam Research, Mattson Technology, Nanometrics, Rudolph Technologies, Ultratech, Veeco, Aixtron, ASM International, ASML. Carl Zeiss, Camtek, EV Group, LPE, Mycronic, Nova Measuring Instruments, Oerlikon/Evatec, Semilab, Advantest, Canon, Canon Anelva, Daifuku, Ebara, Hermes Microvision, Hitachi High-Technologies, Hitachi Kokusai Electric, JEOL, Jusung Engineering, KC Tech, Lasertec, Murata Machinery, Nikon, Nippon Sanso, Nissin Ion Equipment, NuFlare Technology, Screen Semiconductor Solutions, Tokyo Electron, Tokyo Seimitsu, Topcon Technohouse, Toray Engineering, Ulvac, Ushio, Wonik IPS.


Semiconductor Manufacturing Industry Analysis


According to this report, the front end equipment market, which grew 18.4% in 2014 based on revenues converted to dollars, the 2015 market will be essentially flat as shown in the table below.




























































Top 10 Semiconductor Front End Equipment Suppliers


2013 (a)2014 (a)2015 (f)
($B)($B)($B)
Applied Materials (AMAT)5.466.336.61
ASML (ASML)5.305.635.02
Tokyo Electron3.064.664.74
Lam Research LRCX)2.943.814.70
KLA-Tencor (KLAC)2.142.181.95
Screen Semiconductor Solutions1.221.130.94
Hitachi High-Technologies0.800.940.80
Nikon0.640.820.82
Hitachi Kokusai Electric0.500.600.64
ASM International (ASMI)0.460.560.58
  Total22.5226.6526.79
  Change18.4%0.5%
Source: The Information Network

A number of technical and operational trends within the semiconductor manufacturing industry are strengthening the need for more effective advanced equipment solutions.


Semiconductor Manufacturing Industry Trends:


  • Development of Smaller Semiconductor Features. The development of smaller features, now as small as 20nm in production and 10nm in R&D, enables semiconductor manufacturers to produce larger numbers of circuits per wafer and to achieve higher circuit performance.

  • Transition to 3D device structures. Foundries are adopting 3D FinFET transistors starting at 14/16 nm technology nodes to get improved performance and use less power in 1x technology nodes. Memory makers will move to 3D NAND and vertical structures for next generation NAND technology

  • Transition to 3D Integration Technology. Three-dimensional (3D) integration of active devices, directly connecting multiple IC chips, offers many benefits, including power efficiency, performance enhancements, significant product miniaturization, and cost reduction. It provides an additional way to extend Moore’s law beyond spending ever-increasing efforts to shrink feature sizes. A critical element in enabling 3D integration is the Through-Silicon Via (TSV); TSV provides the high-bandwidth interconnection between stacked chips. The TSV process is beginning to enter production. In the case of TSV, since multiple chips are connected, the process has to achieve and maintain very high yield levels in order to be economically viable.

  • Shortening of Technology Life Cycles. The technology life cycle of integrated circuits continues to shorten as semiconductor manufacturers strive to adopt new processes that allow a faster transition to smaller, faster and more complex devices. In the past, the technology life cycle was approximately three years; it is now only two years.

  • New materials. Copper metal layers continue to be the key material for the back end of line for advanced integrated circuits in order to increase performance and reduce the cost of integrated circuits. The Industry is continuously searching directions to reduce the effective K of the low K materials and to reduce the barrier thickness and material types. These changes require new processing and metrology equipment and thus represents challenging developments for the semiconductor manufacturing industry. In addition, in order to overcome limitations in the continued shrink of transistor dimensions, leading edge integrated circuit manufacturers are introducing new materials in the transistor gate stack. The adoption of high-k dielectrics is a key element for gate control in the most advanced technology nodes of 28nm, 20nm and 14nm currently in production, while R&D work to implement the next gate control material being done with III-IV materials. These new materials, combined with metal layers, require new processing and metrology equipment and thus represent a challenging development for the semiconductor manufacturing industry.

  • Increasing use of multi patterning lithography. The continuous need for scaling to meet reduced transistor costs combined with delays in EUV lithography is pushing the industry to develop alternative lithography techniques such as multi patterning, DSA and E-Beam. These alternative technology are increasing the Etch and CMP process steps and thus increasing the process control and metrology steps in these areas accordingly.

  • Increase in Foundry Manufacturing. Asa result of the rising investment needed for semiconductor process development and production as well as the proliferation of different types of semiconductors, semiconductor manufacturing is increasingly being outsourced to large semiconductor contract manufacturers, or foundries. A foundry typically runs several different processes and makes hundreds to thousands of different semiconductor product types in one facility, making the maintenance of a constant high production yield and overall equipment efficiency more difficult to achieve. This trend of shifting to foundries for manufacturing needs has progressed even further during recent years.

Semiconductor Manufacturing Industry AnalysisSemiconductor Manufacturing Industry AnalysisDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Report Contents:Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“.



Semiconductor Manufacturing Industry Analysis

Global Market for Situation Awareness Systems

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Situation Awareness System – Global Strategic Business Report”. This report provides a comprehensive review of market trends, drivers, opportunities, challenges and issues in addition to current coverage on company information and latest news and events including strategic corporate developments, and product innovations. The report helps identify the biggest opportunities in this space and offers accurate latent demand forecasting that empowers quantitative decision making among existing market players and new entrants.


The global market for Situation Awareness System is projected to reach US$135 billion by 2020, driven by the need for efficient management of security threats against a backdrop of continuous rise in security infringements the world over. Technology innovations and growing awareness over the benefits offered by Situation Awareness System are spurring adoption in plant automation, homeland security, aviation, maritime security, construction, cyber security, healthcare and automotive sectors. The United States represents the largest market worldwide, followed by Europe. Asia-Pacific is forecast to emerge as the fastest growing market with a CAGR of 10.9% over the analysis period.


Situation Awareness Systems Market Trends & Drivers:


  • Need to Protect Critical Government Infrastructure Brings Situation Awareness System Into the Spotlight

  • Demand for Next-Generation Data Security Solutions Drives Market Growth

  • Technology Developments Spearhead Growth in the Market

  • Wireless Situational Awareness Systems: A Promising Technology in the Making (LSA): The Next Level of Innovation

  • Smart Cities to Fuel Large-Scale Adoption of Situation Awareness Solutions

The report profiles 77 companies including many key and niche players such as –


Advanced Micro Devices, Inc.

BAE Systems

Barco N.V

CNL Software

D3 Security Management Systems


Situation Awareness SystemsDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Situation Awareness System – Global Strategic Business Report“.


 



Global Market for Situation Awareness Systems

Monday, September 12, 2016

New IPC Standards For Printed Circuit Boards

NEW IPC-A-610F Acceptability of Electronic Assemblies


IPC-A-610 is the most widely used standard for circuit board production in the world. IPC-A-610F illustrates acceptability requirements for electronic assemblies with over 814 colour images and illustrations. Topics include flex attachment, board in board, part on part, lead free, component orientation and soldering criteria for through-hole, SMT (new termination styles) and discrete wiring assemblies, mechanical assembly, cleaning, marking, coating, and laminate requirements. This revision F includes two new SMT termination styles, and changes in plated-through hole fill and BGA void criteria. Major topics include flex attachment, board-in-board, part-on-part, both lead-free and tin-lead criteria, component orientation and soldering criteria for through hole, SMT, cleaning, marking, coating and laminate requirements. IPC Standards for printed circuit boards: order and download IPC A-610F


IPC-A-610 is invaluable for all inspectors, operators and trainers. Revision F has 814 photos and illustrations of acceptability criteria — 86 of them new or updated. The document is most often used with the material and process standard IPC J-STD-001.


NEW J-STD-001F Requirements for Soldered Electrical and Electronic Assemblies


J-STD-001F is recognized worldwide as the sole industry-consensus standard covering soldering materials and processes. This revision includes support for both traditional solder alloys and for lead-free manufacturing. Revision to plated-through hole, PTH, minimum fill requirements; criteria for two new SMT termination types; and expanded conformal coating criteria. Clarification of criteria descriptions for easier understanding. The requirements for all three classes of construction are included. Full color illustrations are provided for clarity. This standard fully complements IPC-A-610F and is supported by IPC-HDBK-001. Order and  download IPC J-STD-001F


IPC-7527 Requirements for Solder Paste Printing


IPC-7527 covers the many aspects of solder paste application, from initial placement on the board through production and testing. To equipment operators, the new standard serves as a reference guide with more than 50 photos packed into the 15-page standard.  IPC-7527 provides the operators with a standard that will help them make the right decisions when they face issues in production, and no professionals or specialists are present.


While there are standards that detail what a completed assembly should look like, IPC-7527 is the first one to provide requirements for what the printed solder paste should look like and how far off centers can be before they’re considered defects. It covers everything from basic squeegees to jet dispensers and needle dispensers to closed print heads. In addition, IPC-7527 provides information on automated paste inspection using either cameras or lasers. Order and download IPC-7527.


IPC-7093 Design and Assembly Process Implementation for Bottom Termination components




This standard describes the design and assembly challenges for implementing Bottom Termination surface mount Components (BTCs) whose external connections consist of metallized terminals that are an integral part of the component body. The BTCs in this document include all types and forms of bottom-only termination components intended for surface mounting. This includes such industry descriptive nomenclature as QFN, DFN, SON, LGA, MLP and MLF. The focus of the information is on critical design, assembly, inspection, repair, and reliability issues associated with BTCs.


The target audiences for this document are managers, design and process engineers, and operators and technicians who deal with the electronic design, assembly, inspection and repair processes. The intent is to provide useful and practical information to those companies who are using or considering tin/lead, lead free, adhesives or other forms of interconnection processes for assembly of BTC type components. Although not a complete recipe, the document identifies many of the characteristics that influence the successful implementation of robust and reliable assembly processes and provides guidance information to component suppliers regarding the issues being faced in the assembly process. Order and  download IPC-7093


IPC-7095C Design and Assembly Process Implementation for BGAs




Implementing ball grid array (BGA) and fine-pitch ball grid array (FBGA) technology presents some unique challenges for design, assembly, inspection and repair personnel. IPC-7095C delivers useful and practical information to anyone currently using BGAs or FBGAs. Many issues have become especially important due to the change in the alloys of the ball, the ball shape, and the attachment procedures. The major emphasis of Revision C is to provide information on some of the new mechanical failure issues such as cratering or laminate defects caused after assembly.


In addition to providing guidelines for BGA inspection and repair, IPC-7095C addresses reliability issues and the use of lead-free joint criteria associated with BGAs. There are many photographs of X-ray and endoscope illustrations to identify some of the conditions that the industry is experiencing in the implementation of BGA assembly processes. Order and download IPC-7095C


IPC-7711/7721B Rework, Modification and Repair of Electronic Assemblies




This guide includes everything needed for repair and rework of electronic assemblies and printed circuit boards! IPC-7711B/7721B Rework, Modification and Repair of Electronic Assemblies has received a complete procedure by procedure update to assure applicability to both lead free and traditional SnPb soldered assemblies. Order and download IPC-7711/7721B.




Purchase and Download IPC Standards For Printed Circuit Boards from Electronics.ca Publications today!


Effective January 1, 2015, a price increase of 4 percent will be implemented on all standards.




IPC Standards For PCB Design and CADIPC Member

 











New IPC Standards For Printed Circuit Boards

Printed Electronics Equipment Sales: Companies Look to Asia

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Printing Equipment for Printed Electronics 2015-2025”.  According to this report, the printed electronics equipment and consumables supplier base consists of over 100 global organizations . The majority of these are based in Europe, followed by a roughly even share of US and Asian based companies. Within Europe itself, Germany is home to more equipment makers than other European countries.


While initially the printed, organic and flexible electronics industry was driven by significant investment and technological development from the fine chemicals industry, the equipment sector followed soon after. Those involved in screen printing and screen printing consumables have had the largest market so far in printed electronics given that the equipment is used in commercial products such as solar cells and glucose test strips.


For more emerging printed electronics processes, such as inkjet printing, specialist coating systems applied to printed electronics, gravure and flexo, the market has been smaller but quickly growing. Most of the sales of such systems have been into Europe. This has been due to a high and consistent level of funding made available from European funding sources in addition to country specific funding programs.


Printed electronics is in most European countries a relatively high prioritization category for funding. Many of the funding sources have found that one of the most useful things to kick start the industry in Europe would be to make equipment available so that people can develop, prototype, pilot and even make low quantity product without the need for them to buy their own equipment which is capital intensive and high risk as development still has to occur.


As a result, many printed electronics centers have been set up, as shown in the image below. Those in light green are in the process of being set up, darker green are more established centers. Some represent numerous centers.


Printed Electronics Equipment Sales

Source: Printing Equipment for Printed Electronics 2015-2025


 


However, through many interviews IDTechEx Research has found that the equipment demand has mostly been met for the government funded programs. Of course, outside the government funded projects companies are buying equipment but the main funding until now has come from governments. For equipment supply companies, many of whom have enjoyed a good profitable period with top line sales growth, there is now a void as European companies’ appetite for equipment lags the government funded programs.


The US equipment market has seen steady growth but until now has not been as big market as it is in Europe, due to lesser government funding. This has changed due to the recently announced $75 million funding for a printed electronics manufacturing hub, but this is one project unlike the European market which consisted of many.


Now, therefore, equipment makers are turning to Asia. There is an impending transition from equipment for development and prototyping purposes to buying equipment for higher volume manufacture. Here the equipment focus is different – it is not making state of the art transistors using printing, but doing simpler things but reliably that can be in commercial products today. For example, this includes using inkjet printers for the polymer planarization layers for barriers on OLEDs or printing the bezel edge electrodes for touch screens, often which are then patterned with a laser.


So now the wave of new printed electronics capability truly becomes applied to product, with equipment companies sending their sales people out to Asia.


This new report assesses the applications, technologies and opportunities for equipment that is enabling printed electronics including looking at the main government centers and geographic trends. It covers the different types of printing, curing/sintering and other key manufacturing equipment, providing assessment of the manufacturing requirements for different applications, growth areas, ten year forecasts for each printing method by application and detailed company assessments.


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report: “Printing Equipment for Printed Electronics 2015-2025“.



Printed Electronics Equipment Sales: Companies Look to Asia

Friday, September 9, 2016

Conductive Inks and Pastes Markets - New Battlegrounds are Emerging

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Conductive Ink Markets 2016-2026: Forecasts, Technologies, Players“. Conductive inks and pastes remains one of the largest sectors in all of printed electronics. The conductive inks and pastes market will reach nearly $1.7b in 2026 at current metal prices. Micro-sized silver conductive pastes will dominate the market, controlling nearly the entire market in 2016. Silver nanoparticles will however become increasingly competitive, finding use in a range for emerging applications sectors to become an $80m market in 2026.



Copper will remain a comparatively immature technology but will achieve limited success as novel curing systems are installed to open the door to copper ink sales.The solar panel industry will be 1.5 k tonne market in 2016 for screen-printed firing-type conductive pastes. At the paste level, a new group of suppliers will soon come to dominate this business whilst at the powder level the users will force through a more diversified supplier base.


The touch screen edge electrode market will continue its decline. The linewidth-over-spacing (L/S) has decreased to 20/20, pushing screen printing with standard PTFs beyond its limits and opening the door to photocurable pastes. Etching-based techniques will find additional opportunities as the bezel is further narrowed whilst standard PTFs will retain some share in the low-cost end of the market.Sensors such as car occupancy sensors, printed piezoresistive sensors and some versions of glucose sensors will remain a substantial niche market for conductive pastes, as will the automotive sector with its mixed grouping of stagnant traditional and high-growth emerging applications. HF and UHF RFID antenna markets will grow but will see the relative market share of ink types transform over the coming decade. 3D antennas made using aerosol printing will continue gaining traction.


This approach will compete head-on with MID (molded interconnect devices) techniques and will become a substantial player in the consumer electronics market. Metal mesh as an ITO alternative will make slow inroads despite the pending consolidation period in the TCF industry, creating demand for silver nanoparticle used in filling or printing fine lines.New markets will emerge and create new performance requirements. In-mould electronics will demand inks that can stretch and survive the thermoforming/molding process.


Electronic textiles will require inks that are truly stretchable and withstand repeated washing cycles. 3D printed electronics and desktop PCB printers will need the high conductivity and low temperature inks to open vast new prototyping possibilities for 3D printers and circuit designers. All these markets are poised for rapid growth provided technology innovations can satisfy the market pull.Ten-year market projections split by application. Please contact us for the exact values. Note that ink selling prices have declined thanks to a decline in raw metal prices but also pressured margins, resulting in a decrease in our revenue forecasts.


Conductive Ink/Paste Market Report Provides:


1. Ten year market forecasts by technology market share, volume demand and market value in 14 market segments including:


  • automotive

  • touch

  • photovoltaics

  • RFID

  • sensors

  • smart packaging

  • logic and memory, etc.

2. Comprehensive technology assessment, benchmarking and competitive landscaping for silver flake pastes, silver nanoparticle inks, copper nano and flake pastes and inks, graphene and carbon nanotubes, PEDOT, and silver nanowires.


3. Detailed application assessment including dynamics and drivers.


4. Competitive business intelligence on all key industry players including over 50 profiles based on direct interviews and/or visits.


10-year CAGR rates for conductive inks and paste market


Conductive Inks Market

Source: Conductive Ink Markets 2016-2026: Forecasts, Technologies, and Players


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report: Conductive Ink Markets 2016-2026: Forecasts, Technologies, Players.



Conductive Inks and Pastes Markets - New Battlegrounds are Emerging