Friday, April 29, 2016

Semiconductor Manufacturing Industry Analysis

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“, extending The Information Network’s semiconductor manufacturing industry analysis. This report profiles key semiconductor equipment suppliers such as Applied Materials, Axcelis Technologies, KLA-Tencor, Lam Research, Mattson Technology, Nanometrics, Rudolph Technologies, Ultratech, Veeco, Aixtron, ASM International, ASML. Carl Zeiss, Camtek, EV Group, LPE, Mycronic, Nova Measuring Instruments, Oerlikon/Evatec, Semilab, Advantest, Canon, Canon Anelva, Daifuku, Ebara, Hermes Microvision, Hitachi High-Technologies, Hitachi Kokusai Electric, JEOL, Jusung Engineering, KC Tech, Lasertec, Murata Machinery, Nikon, Nippon Sanso, Nissin Ion Equipment, NuFlare Technology, Screen Semiconductor Solutions, Tokyo Electron, Tokyo Seimitsu, Topcon Technohouse, Toray Engineering, Ulvac, Ushio, Wonik IPS.


Semiconductor Manufacturing Industry Analysis


According to this report, the front end equipment market, which grew 18.4% in 2014 based on revenues converted to dollars, the 2015 market will be essentially flat as shown in the table below.




























































Top 10 Semiconductor Front End Equipment Suppliers


2013 (a)2014 (a)2015 (f)
($B)($B)($B)
Applied Materials (AMAT)5.466.336.61
ASML (ASML)5.305.635.02
Tokyo Electron3.064.664.74
Lam Research LRCX)2.943.814.70
KLA-Tencor (KLAC)2.142.181.95
Screen Semiconductor Solutions1.221.130.94
Hitachi High-Technologies0.800.940.80
Nikon0.640.820.82
Hitachi Kokusai Electric0.500.600.64
ASM International (ASMI)0.460.560.58
  Total22.5226.6526.79
  Change18.4%0.5%
Source: The Information Network

A number of technical and operational trends within the semiconductor manufacturing industry are strengthening the need for more effective advanced equipment solutions.


Semiconductor Manufacturing Industry Trends:


  • Development of Smaller Semiconductor Features. The development of smaller features, now as small as 20nm in production and 10nm in R&D, enables semiconductor manufacturers to produce larger numbers of circuits per wafer and to achieve higher circuit performance.

  • Transition to 3D device structures. Foundries are adopting 3D FinFET transistors starting at 14/16 nm technology nodes to get improved performance and use less power in 1x technology nodes. Memory makers will move to 3D NAND and vertical structures for next generation NAND technology

  • Transition to 3D Integration Technology. Three-dimensional (3D) integration of active devices, directly connecting multiple IC chips, offers many benefits, including power efficiency, performance enhancements, significant product miniaturization, and cost reduction. It provides an additional way to extend Moore’s law beyond spending ever-increasing efforts to shrink feature sizes. A critical element in enabling 3D integration is the Through-Silicon Via (TSV); TSV provides the high-bandwidth interconnection between stacked chips. The TSV process is beginning to enter production. In the case of TSV, since multiple chips are connected, the process has to achieve and maintain very high yield levels in order to be economically viable.

  • Shortening of Technology Life Cycles. The technology life cycle of integrated circuits continues to shorten as semiconductor manufacturers strive to adopt new processes that allow a faster transition to smaller, faster and more complex devices. In the past, the technology life cycle was approximately three years; it is now only two years.

  • New materials. Copper metal layers continue to be the key material for the back end of line for advanced integrated circuits in order to increase performance and reduce the cost of integrated circuits. The Industry is continuously searching directions to reduce the effective K of the low K materials and to reduce the barrier thickness and material types. These changes require new processing and metrology equipment and thus represents challenging developments for the semiconductor manufacturing industry. In addition, in order to overcome limitations in the continued shrink of transistor dimensions, leading edge integrated circuit manufacturers are introducing new materials in the transistor gate stack. The adoption of high-k dielectrics is a key element for gate control in the most advanced technology nodes of 28nm, 20nm and 14nm currently in production, while R&D work to implement the next gate control material being done with III-IV materials. These new materials, combined with metal layers, require new processing and metrology equipment and thus represent a challenging development for the semiconductor manufacturing industry.

  • Increasing use of multi patterning lithography. The continuous need for scaling to meet reduced transistor costs combined with delays in EUV lithography is pushing the industry to develop alternative lithography techniques such as multi patterning, DSA and E-Beam. These alternative technology are increasing the Etch and CMP process steps and thus increasing the process control and metrology steps in these areas accordingly.

  • Increase in Foundry Manufacturing. Asa result of the rising investment needed for semiconductor process development and production as well as the proliferation of different types of semiconductors, semiconductor manufacturing is increasingly being outsourced to large semiconductor contract manufacturers, or foundries. A foundry typically runs several different processes and makes hundreds to thousands of different semiconductor product types in one facility, making the maintenance of a constant high production yield and overall equipment efficiency more difficult to achieve. This trend of shifting to foundries for manufacturing needs has progressed even further during recent years.

Semiconductor Manufacturing Industry AnalysisSemiconductor Manufacturing Industry AnalysisDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Report Contents:Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“.



Semiconductor Manufacturing Industry Analysis

Download IPC Standards - Everything You Need From Start To Finish

To achieve a high quality end product and maintain a competitive position in the marketplace, you need to infuse quality throughout the manufacturing process.


Did you know there is an IPC standard associated with nearly every step of printed circuit board production and assembly?  From design and purchasing to assembly and acceptance, Electronics.ca Publications offers IPC Standards to help you assure superior quality, reliability and consistency in the electronic assemblies that go into your product. Download IPC Standards Spec Tree – PDF file.


As with the manufacturing process — which uses a step-by-step approach – IPC standards also build upon one another. To achieve your desired results, it’s important to implement the appropriate IPC standards associated with each step of production.



Why Use IPC Standards in Your Manufacturing Process?


  • Gain Control Over End Product Quality and Reliability — Quality and reliability are the cornerstones of competing in the marketplace and critical to your company’s reputation and profitability. By implementing IPC standards throughout the manufacturing process, you help ensure better performance, longer life and compliance with lead-free regulations.

  • Improve Communication with Suppliers and Employees — IPC standards are the standards that your competitors, suppliers and EMS providers use. Working from an established IPC standard helps all of you to “speak the same language” — the language of the global electronic industry. In addition, using IPC standards eliminates confusion for employees, because they know they need to perform to an established industry standard.

  • Help Contain Costs — Ensuring that your design and the bare boards you purchase comply with IPC standards allows you to produce electronic assemblies that meet stringent quality tests down the line, minimizing costly delays, rework and scrap.

The Most Popular IPC Documents are available from Electronics.ca Publications in PDF Format


Download IPC Standards


IPC A-610F – Acceptability of Electronic Assemblies
IPC-A-610 is the most widely used electronics assembly standard in the world. A must for all quality assurance and assembly departments.


IPC J-STD-001F – Requirements for Soldered Electrical and Electronic Assemblies
J-STD-001 Requirements for Soldered Electrical and Electronic Assemblies has emerged as the preeminent authority for electronics assembly manufacturing. The standard describes materials, methods and verification criteria for producing high quality soldered interconnections. The standard emphasizes process control and sets industry-consensus requirements for a broad range of electronic products. This revision now includes support for lead free manufacturing.


IPC A-620B – Requirements and Acceptance for Cable and Wire Harness Assemblies
Revision B is now available for the only industry-consensus standard for Requirements and Acceptance of Cable and Wire Harness Assemblies.


IPC-DRM-PTH-E
Now updated to Revision D of the latest IPC-A-610E and J-STD-001E – our Training & Reference Guide illustrates critical acceptance criteria for the evaluation of through-hole solder connections.


IPC-DRM-SMT-E
Useful as a training aid in the classroom or on the shop floor, DRM-SMT-E contains computer generated color illustrations of Chip component, Gull Wing and J-Lead solder joints.


IPC-J-STD-033C
J-STD-033 Provides Surface Mount Device manufacturers and users with standardized methods for handling, packing, shipping and use of moisture/reflow sensitive SMDs.


IPC-J-STD-020D-1
IPC-J-STD-020D-1 standard identifies the classification levels of nonhermetic solid state surface mount devices that are sensitive to moisture-induced stress.


J-STD-075

J-STD-075 provides test methods to classify worst-case thermal process limitations for electronic components. Classification is referenced to common industry wave and reflow solder profiles including lead-free processing.


IPC Collections:


IPC-C-103 – Electronics Assembly Standards Collection


IPC-C-1000 Essential Document Collection for Board Design, Assembly and Manufacture


IPC-C-108 Cleaning Guides and Handbooks Collection


Through Electronics.ca you can order IPC standards for printed circuit board design and manufacturing and electronics assembly, including handbooks, guidelines and IPC training videos.



Download IPC Standards - Everything You Need From Start To Finish

Wednesday, April 27, 2016

Global Semiconductor Industry is Headed for a Monumental Transformation to Usher in IoT Revolution

The upcoming IoT Revolution hopes to connect 50 billion devices by end of 2020. The IoT Revolution aims at transforming Retail Sales industry, Aerospace and Defense IndustryWholesale Distribution industry, Utilities industry, Oil and Gas Industry, Mining and Metal Industry, Industrial Machinery and Component manufacturing, Chemical Industry, Automotive Industry, etc.


Every aspect of IoT seems to be revolutionary. There is also a good chance of IoT Revolution to improve the quality of life for entire human society. While the technology has an important role to play in creating ample job opportunities in global economy, if care not taken by policy makers, IoT market growth would be restricted.


Internet of Things has an  important role to play in the progress of today’s knowledge based economy. If the global economy cannot transition to a sustainable economy, IoT Revolution cannot become a reality. Additionally, IoT Revolution should not only come through increase in consumer purchasing power that gives increased consumer demand for goods but it should also ensure that the higher purchasing power comes through hard work and not by means of providing any dole-outs.


The IoT Revolution is very much feasible for every economy in this world as long as the playing field is leveled and the competition between them is fair. A true free market economy is essential for bringing about this next big thing. These free markets should be beneficial for not only producers but also consumer of goods. Sustainable manufacturing is essential in order to transition to next generation ecological fab. IoT Revolution also has the capacity to bring the environmental pollution under control by means of ushering a balanced economy which results in neither overproduction of goods nor underutilization of available resources.


For IoT Revolution to happen, wide range of macroeconomic principles have to be applied to existing industrial policies that helps transition the top-down hierarchical corporate culture to a more flatter organization having less rigid hierarchy. Such an organization with decentralized power and authority has a capability to increase collaboration amongst its employees through a consensus in decision making process as well as boost the efficiency of organization in order to be able to contribute towards a strong local economic development. While ensuring a success of IoT Revolution, care needs to be taken that the trade policies do not encourage counterfeiting of electronics. Majority of IoT applications will be human centric and hence counterfeits have to be not only minimized but completely eliminated from supply chain to avoid life-threatening situations from the use of IoT products.


Both producers and consumers have to prosper for a robust growth of IoT sector. The worker productivity is the main source of supply while wages are the main source of demand. If productivity rises faster than wages, then supply rises faster than demand. This results in overproduction and forces the manufacturer to fire workers. Producers are the suppliers of goods, and consumers generate the demand for these goods. Consumer demand, being dependent on wages, is sustainable only if the consumers as workers earn higher salaries. If the wages of consumers do not catch up with increased supply of goods, the supplier of goods is unable to sell all that he/she has manufactured. Hence, the monetary policy of any country needs to ensure that wages catch up with productivity. By ensuring wages catch up with productivity; it is very feasible to create plenty of jobs in economy and maintain the incentives to work hard. In this way, there is no need of excessive government spending and hence deficits, which would also reduce the direct and indirect taxes on citizens. A lower income tax on citizens would also further boost citizens’ consumer purchasing power in economy.


A sustainable economy should not only create more manufacturing jobs but also creates a number of service sector jobs catering to these manufacturing facilities.  The virtual offshoring of most facilities from the United States in order to increase corporate profits, has produced the progressive diminishing of the employment base to most Americans in both intellectual and economic terms.  The increasing Mergers and Acquisitions happening in semiconductor industry are not going to help but hurt this industry. Indeed, the lack of liquidity in the last seven years has not helped this situation either and hence the ownership of companies catering to the IoT market should be with the employees of those companies, as being the arbiters of their chosen investment locales and facets.


While ushering the IoT Revolution, businesses can play an important role in eliminating global poverty and unemployment through a productive use of technology. An unproductive use of any technology makes the progress of that technology unsustainable and hence ‘Sustaining Moore’s Law’ advocates a productive use of technology to eliminate the present uncertainty of ushering an IoT revolution due to a global macroeconomic crisis. It would help sustain the progress of Moore’s law and will bring an end to wage stagnation, and hence, the living standard will start to rise for all.


As is common knowledge, all indications are that the Western economy is in for a very rough ride, in particular because the Western individualized world has sacrificed so much of its social, cultural, and industrial base to external interests, and in the process has outsourced large chunks of its technical skills and know-how to foreign agencies and lands. Life on other continents on the other hand seems to have retained more of a traditional structure. Therefore it seems probable that a sinking global economy and the eventual collapse of crony capitalism, as we know it, would harm the First and Second Worlds infinitely more than the Third. However,  ‘Sustaining Moore’s Law’ presents a blueprint to usher in the next big thing of IoT Revolution for not only developed economies but also for developing economies like India.


The semiconductor industry in United States has been on the downhill along with many others. It is well known that manufacturing is fast becoming an endangered species in the United States, employing less than 10 percent of the labor force now. Semiconductors have been hit especially hard by low-wage competition from China and some other Asian nations. Dallas/Maxim just announced they are closing their production facilities in Dallas and the Failure Analysis lab along with it. Many of my ex-colleagues that were layed off from Kilby/Process Development a year ago have not found a job yet. Qualcomm is laying off 15% of its workforce and the Broadcom/Avago merger is going to create a wave of restructuring layoffs too. Hence, the Uncertainty of ushering about an IoT Revolution seems insurmountable to the industry at large.


Internet of Things


A Management Crisis in High Tech Industry


Since the 1960s the semiconductor industry has been a driver of global economic growth and social change. Each country involved wants a large, viable semiconductor industry that provides high paying jobs. The ongoing technical and managerial crises needs a detail analysis to examine the industry from a macroeconomic perspective, helping readers understand how global competitive advantage can be won or lost unless good macroeconomic policies are implemented. This study is very relevant in today’s world and the highly dynamic semiconductor industry, since things move so fast in this digital age. Though a US-centric book, it will be very useful for the developing world as well. There is also a chapter dedicated to semiconductor manufacturing in developing economies like India.


Global Semiconductor Industry Is Headed For A Monumental Transformation To Usher In Iot RevolutionThis is the first book for which world renowned Professor of Economics and author of six international best sellers, Professor Ravi Batra, has written the Foreword. Professor Batra considers the solutions presented in this book to be both monumental as well as practical to implement. In 1978, To the laughter of many and derision of a few, Professor Ravi Batra authored a book “The Downfall of Capitalism and Communism: A New Study of History“. While his forecasts about collapse of communism earned him a gold medal of honor from Prime minister of Italy, he thinks that unless US Capitalism reforms towards a free market economy, US Capitalism is going to meet the fate of Soviet Communism due to huge concentration of wealth in the economy.


It is another interesting book as it not only focuses on technological growth but also offers solutions to make that growth sustainable by a sustainable macroeconomic growth. The book is published by Morgan and Claypool Publishers and will be available as an e-book as early as end of August 2015.


By Apek Mulay.



Global Semiconductor Industry is Headed for a Monumental Transformation to Usher in IoT Revolution

Global Printed Circuit Boards Market Data 2015

Electronics.ca Publications unearths interesting findings that may reveal golden opportunities for electronics manufacturers. Here are some of the latest discoveries of interest to PCB fabricators:


According to “Monthly IPC North American PCB Market Report” Flexible circuit orders in North America are booming this year and the forecast for the next year is for double-digit sales growth. The North American flex market has grown over the past 3 years while the rigid PCB market has shrunk. Globally, the flex market is now estimated at over $10.2 billion. Yet, U.S. production accounts for only 3.4 percent of the world’s flexible circuits.


The monthly North American PCB Market Report provides timely data on PCB market size, sales and order growth, book-to-bill ratios and near-term forecasts. Data are reported for rigid PCBs and flexible circuits separately. The rigid PCB data are further segmented by company size tiers, and flex data includes trends in bare circuit versus assembly revenue sources. Trends in sales of boards to the military and medical markets are reported for both rigid and flex, as well as trends in prototype sales.


According to Global and China FPCB (Flexible Printed Circuit Board) Industry Report, 2014 was a bumper year for most FPCB companies, and the output value of the entire PCB industry reached USD12.5 billion, rising by 10.5% from the previous year. In 2015, the prices of bulk commodities (particularly the copper price) plummet, which will greatly reduce the raw material costs of PCB companies and help raise their profitability. Larger screen size of mobile phone requires larger FPCB. The FPCB market is expected to grow 8.6% in 2015 as the smartphone market can not continue its rapid growth and the tablet PC is in recession.


In 2014, the euro, the NTD and the yen significantly devalued, while the South Korean won appreciated, which not only hit a serious blow to the competitiveness of South Korean FPCB enterprises, but minified the profit of South Korean PCB enterprises. The revenue and profit margin of all South Korean PCB companies declined, for example, Flexcom’s revenue slumped by more than 50%, the giant Interflex’s revenue dropped 33% and its operating margin turned to be the negative 14.2%, which showed the power of the currency war.


Benefiting from the currency depreciation, Taiwanese and European companies witnessed soaring profit margins. More than half of Japanese companies did not benefit from the depreciation of the yen because they set up production bases overseas, but still better than South Korean companies.


In the downstream market, the biggest change in 2014 lied in: HDD saw the first growth after three consecutive years of decline. The global HDD shipment amounted to 564 million units, an increase of 2.4% from 2013. Previously, insiders were optimistic about the prospect of SSD instead of HDD; but actually, the SSD price remained high, the tablet PC market decayed, while the laptop computer market recovered to growth after three consecutive years of downturn. At the same time, the development of SSD did not restrict HDD; the new network economy and the big data era stimulated the demand for servers and HDD. HDD will still be the mainstream in the next three to five years, and the HDD shipment is expected to reach 621 million units in 2019. Japanese companies focusing on HDD-use FPCB performed exceedingly well in 2014, for example, the leader NOK (Mektron) achieved the revenue growth rate of 28% and the operating margin of 8.7% (rising from the negative 10.6%), Nitto’s revenue surged by 31%.


The competitiveness of South Korean and American companies weakened significantly. The strong USD made MFLEX’s revenue fall dramatically. The depreciation of NTD facilitated Taiwanese companies to grow by leaps and bounds; meanwhile, Apple greatly reduced orders from South Korean companies, while placed more orders with Japanese and Taiwanese companies in accordance with the principle of the nearest supply. The revenue of ZDT under Foxconn soared 60% in 2014.


Global Printed Circuit Boards Market Data


 



Global Printed Circuit Boards Market Data 2015

Monday, April 25, 2016

What Benefit Do IPC Standards Have For The Electronic Hardware Supply Chain?

For more than 50 years, IPC has developed industry standards for the production of electronic hardware. After having been long considered North American standards, IPC standards over the past two decades have spread globally. The question now is: what benefit do IPC standards have for the electronic hardware supply chain?


Providing answers to that question, several companies in Europe representing electronic design, CAD, bare board production, assembly and soldering explain how they use IPC standards in their daily business routines.


This first article covers electronic design/CAD company, GED GmbH, located 30 km southeast of Cologne in Germany.


First experience with IPC standards


GED offers PCB design and engineering and, through the assistance of external subcontractors, bare board, assembly and test services. Currently the company has 14 employees and CAD systems from six different layout vendors. With these resources, the company produces 160 new PCBs and 80 updates per year for rigid, flex, flex-rigid and HDI boards for customers in Germany, Italy, Sweden, the Netherlands, France and the Czech Republic.


From its establishment in 1986 to the middle of the 1990s, the company used its customers’ internal standards from companies such as Siemens, Philips, Rheinmetall and Thyssen. This meant that a different standard was used for every customer. An example of this frustrating situation, says Hanno Platz, owner and managing director, “was when we received an inquiry from Hewlett Packard in Germany with an attachment of 100 pages of requirements for the PCB in question.” At that time, the staff did not know about IPC and its standards. In 1995, the company had a U.S. customer that demanded that the assembly and soldering of a back plane, developed and produced in Germany, be conducted at their U.S. premises according to IPC-A-610, Acceptability of Electronic Assemblies, Class 3 acceptance criteria.


Technology focused on standards


GED found IPC standards through FED, a local German organization with a long-time relationship with IPC, and bought first set of IPC standards. The back plane could now be designed and produced according to IPC standards. Having standards from different areas of the production chain that linked together was a new and positive experience for the company. The staff now regularly discusses how to use IPC standards in their own designs as well as in the purchasing process of bare boards plus assembling and soldering.


Currently, all employees have passed CID (Certified Interconnect Designer) and CID+ (Advanced Certified Interconnect Designer) and are familiar with nearly all IPC standards for electronic design and CAD. All of their order acknowledgments for designing a complete PCB include the following statement, “If nothing else has been agreed upon, PCBs will be manufactured according to IPC standards and its Class 2 acceptance criteria.”


At GED, designers also create PCB layouts. Today, most new PCBs are HDI (high density interconnected) with a high SI (signal integrity) level including high EMC (electromagnetic compatibility). IPC standards have an important influence on these complex boards. The company uses the following IPC standards in their design work:


  • The IPC-2220 PCB design series for mechanical properties of track, isolation and PTH (plated-through holes)

  • IPC-2223B, Sectional Design Standard for Flexible Printed Boards for flex-rigid PCBs and questions like adhesive type, placement of a flex layer into the rigid part and coverlay dimensions

  • IPC-7351A, Generic Requirements for Surface Mount Design and Land Pattern Standard for footprint (land) design, which is even more important than before because of lead-free processes, especially when components like CSPs (chip scale packages) and QFNs (quad flat no leads) are specified in the BOM list.

  • IPC-A-610F, Acceptability of Electronic Assemblies for acceptability of solder joints and assemblies

  • IPC-2251, Design Guide for the Packaging of High Speed Electronic Circuits and IPC-2141A, Design Guide for High-Speed Controlled Impedance Circuit Boards.With the need to comply with EU Directive 2004/108/EC, and its requirements for high EMC on all PCBs placed in the EU market, SI has become more critical. These standards assist GED designers in selecting microstrip or stripline build up for impedance.

Tool with benefit


The company’s ISO 9002 certification states that Deutsches Institut für Normung e.V. (DIN) and IPC standards serve as the foundation for quality work. IPC standards are required as a tool when the company uses external vendors for bare boards and assembly. For all potential suppliers in Europe, the staff conducts company visits that include an audit of the bare board supplier and their use of IPC standards, especially the IPC-6010 board manufacturing series and IPC-A-600H, Acceptability of Printed Boards. According to Platz, “After visiting hundreds of bare board suppliers, we’ve concluded that the majority of suppliers understand IPC standards but very few can make boards according to IPC Class 3 acceptance criteria. This is absolutely something that needs to improve.”


According to GED, IPC standards are the basis of electronic product quality and reliability. In the design process, technical parameters like choice of base material, pad sizes, spaces/traces and isolation distances between layer and component pitches are constant considerations. The use of IPC standards makes it much easier to find solutions. Without IPC standards, the alternative can involve high repair/touch up costs and lots of scrap but also an imperfect electronic product from a quality and reliability point of view. In some cases, this has been a very costly experience for the company’s customers that have not used IPC standards. Platz gives two examples:


Example 1: Working to improve EMC capabilities on a PCB, a designer placed via holes directly in surface mount pads. The result was years of solder and wetting problems with only an 80 to 90 percent yield and difficult repairs. The designer, by not following the standard, placed vias in a pad that was too tight. The profit loss over several years was estimated to be 50,000 to 60,000 Euros. The company was ultimately able to solve the problem by redesigning via hole placement that improved EMC properties.


Example 2: A complex 12-layer HDI board with BGA components on both sides needed to be manufactured using a lead-free process. After the transfer, yield went down to 50 percent. The reason: wrong design parameters and bad layer configurations were used and pads too small for via holes were created. With a higher lead-free solder temperature, many irreparable barrel cracks were created in via holes. The total loss due to the 50% yield in the lead-free process was more than 100,000 Euros.


For GED, IPC standards have been an invaluable tool over the past two decades. IPC standards not only save money, they also make it easier to communicate with bare board suppliers and assembly companies across the world. IPC standards can significantly reduce mistakes and improve end-product quality and reliability.



What Benefit Do IPC Standards Have For The Electronic Hardware Supply Chain?

The East European Electronics Industry Report

In the context of the global electronics industry East Europe, at US$57.3 billion, accounted for 3% of electronics output in 2014 and held a 4.5% share of the global market at US$81.2 billion. To the east Russia and the Ukraine accounted for 12 % and 34% of production and the market, respectively in 2014. The current conflict in the region has impacted both production and the market and is expected to continue to subdue demand over the short-term. In the longer-term and assuming the current situation is resolved the market will gradually rebound and in turn lead to an upturn in foreign investment.


East European Electronics

East European Electronics Production 2010-2015 (excludes Russia & Ukraine). Source: RER, Yearbook of World Electronics Data Volume 4 2015/2016 East Europe & World.


 


The electronics industry in the remainder of Eastern Europe accounted for 88% of electronics production and 66% of the market in 2014. The region’s position as an emerging market, the close proximity to Western Europe and lower manufacturing costs has resulted in significant foreign investment by some of the world’s leading electronics groups, with the focus on computing, communications and consumer electronics. Production within the core 3C segment is focused on the Czech Republic, Hungary, Poland and Slovakia. In 2014, the share of 3C accounted for of overall production ranged from 60% in Hungary, to 81% in the Czech Republic, 83% in Slovakia and 84% in Poland.


However, with volume manufacturing in the hands of a relatively few companies the region has been vulnerable to decisions made by individual companies as they look to align production to demand and utilise their global manufacturing operations to reduce costs. In the case of TV manufacturing production has fallen from a peak of 41.0 million in 2010 to a forecasted 32.1 million in 2015.


The production of computer related equipment peaked in 2010 and although output edged up in 2014 on the back of stronger demand output is expected to have declined by 7.6% between 2010 and 2015. The decline in the communications segment has been more pronounced primarily due to the sharp decline in the production of mobile phones. Between 2010 and 2015, output has declined by 32.2%.


The decline in 3C production will be partially offset by an increase in output of industrial and high-end communications equipment. This will be led by foreign investment as companies look to move production from higher cost West European locations or in the case of non-European companies look to establish a low-cost manufacturing base to serve the European market.


Details of the East European Electronics Industry Report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View the report: Yearbook of World Electronics Data Volume 4 2015/2016 East Europe & World.



The East European Electronics Industry Report

Friday, April 22, 2016

IPC-4101D-WAM1 Brings Significant Changes and Clarifications

If you need the most current specifications for PCB materials used in rigid or multilayered printed boards, then it is time to upgrade to IPC-4101D-WAM1. This newly revised standard brings critical updates to the already valuable IPC-4101D, Specification for Base Materials for Rigid and Multilayer Printed Boards.


Why wait? Upgrade to the IPC-4101D-WAM1 today!


IPC-4101D-WAM1 delivers key updates for board designers, specifiers of board materials, and OEM’s who use and keep boards current with base materials used in PCBs.


This revision:


  • covers requirements for laminate or prepreg base materials used primarily for rigid and multilayer printed boards for electrical and electronic circuits

  • contains 64 individual, keyword searchable specification sheets, including a brand new sheet that expands offerings for commercially available laminates and prepregs

  • clarifies what is stated in the original release of IPC-4101D for the FR-4.0 materials as “None” for inorganic filler content, now states “<5%” is allowed

  • adds table 3-10, Permissible Laminate Substitutions for Specification Sheets /21, /24, /26 and /30

IPC-4101D-WAM1

IPC4101D-WAM1


This revision brings significant changes and clarifications. Learn more about how these updates can benefit you. Order IPC4101D-WAM1 today!


 


 



IPC-4101D-WAM1 Brings Significant Changes and Clarifications

Surging Demand for CCTV and Video Surveillance Systems Drives the Electronic Security Systems Market

ELECTRONICS.CA PUBLICATIONS announces the availability of new market research studies which cover CCTV and Video Surveillance Systems, and comprehensive analysis of industry segments, trends, growth drivers, market share, size and demand forecasts on the Global Electronic Security Systems (ESS) market. The global market for Electronic Security Systems is projected to exceed US$80 billion by 2020, driven by the surging demand for CCTV and video surveillance systems and the critical need to restrict unauthorized entry and access amid escalating security concerns. 


Electronic Security Systems, CCTV and Video Surveillance Market


Electronic Security represents the use of technology and electronic devices for ensuring safety and security of human life, physical assets, and business operations by tracking and preventing unauthorized access to restricted premises, sensitive data, or high value assets. As key enablers of advanced electronic security, alarms, electronic access controls systems (EACS) and CCTV and Video surveillance systems have been well received by security conscious individuals, commercial establishments, and governments across the world. Rise in terrorist attacks, vandalism, campus violence, and the resulting need for personal safety, and security at public places such as transits, city centers, educational institutions, as well as borders have been driving the ESS market over the years. Other factors benefiting market growth include heightened levels of fear over security among individuals, stringent regulatory mandates, recovering new building constructions, and surging demand for technologically superior yet cost effective products.


Future growth in the market will be driven by societal changes, which will continue to trigger security threats like terrorist attacks, racism, and fascism. Also, the changing nature of crime in the form of online fraud, identity theft, internet related crimes, property theft, and vandalism will throw the spotlight on the need for advanced electronic security solutions such as digital CCTV, IP based video surveillance, remote-monitoring systems, and IP based access control systems. Falling prices; ever expanding product range; favourable legal, social and political perspectives; migration from analog to IP-based video surveillance; surging investments in government security projects; rapid proliferation of HD CCTVs, rising need to restrict unauthorized entry and access; integration of multiple technologies; expanding applications of biometrics EACS; and the power of cloud computing in enabling security software as a service, are also expected to benefit market expansion in a big way in the coming years.


As stated by the new market research report on Electronic Security Systems (ESS), the United States represents the largest market worldwide, followed closely by Europe. Rise in events of security infringement, significant upgrading and integration of highly advanced security systems by commercial and residential buildings, and rising government and enterprise focus on safeguarding human life, physical and digital assets, and infrastructure, represent key growth drivers in developed markets. Asia-Pacific ranks as the fastest growing market with a CAGR of 14% over the analysis period. Growing prominence of Asian countries as a manufacturing hub for electronic security systems, robust construction activity driven by healthy gains in GDP growth, rising disposable incomes of an expanding base of middle class population, and growing number of civil infrastructure projects, among others, represent key growth drivers in the region.


Key players covered in the report include ADT LLC, Allegion plc, ASSA ABLOY AB, Axis Communications AB, Bosch Security Systems, Changzhou Minking Electronics Co., Ltd., Fermax Electronica S.A.U., Global Security Solutions, Gunnebo AB, Hanwha Techwin, Hikvision Digital Technology Co., Ltd., Honeywell International Inc., Huviron Co., Ltd., Identive Group, Inc., Johnson Controls, Inc., Kaba Group, Mobotix AG, Panasonic Corporation, Schneider Electric, SAFRAN Group, Sony Electronics, Inc., Swann Communications Pty. Ltd., Toshiba America, Inc., Tyco International Ltd., UTC Building and Industrial Systems, Yoko Technology Corp., and Zhejiang Dahua Technology Co., Ltd., among others.


The research report provides a comprehensive review of market trends, issues, drivers, mergers, acquisitions and other strategic industry activities of global companies. The report provides market estimates and projections in value for the US, Canada, Japan, Europe (France, Germany, Italy, UK, Spain, Russia, and Rest of Europe), Asia-Pacific (China, India, and Rest of Asia-Pacific), Latin America (Brazil, and Rest of Latin America), and Rest of World. Product segments analyzed in the report include Alarms, Electronic Access Control Systems (EACS), CCTV and Video Surveillance Systems, and Others.


Electronic Security Systems Market


Details of  2 new reports, table of contents and ordering information can be found on Electronics.ca Publications’ web site.

View Report Contents for:


 



Surging Demand for CCTV and Video Surveillance Systems Drives the Electronic Security Systems Market

Wednesday, April 20, 2016

Key Nano-technologies and Markets 2015-2016

Nanotechnology and nanomaterials are key enablers for a whole new generation of products and processes. New products with enhanced properties are coming onto the market from a broad range of players in consumer electronics, packaging, healthcare and coatings.


Key Nano-technologies and MarketsThe Nanotech Review 2015-2016 is a comprehensive guide to materials, markets and products in nanotech over the past 12 months, from January 2015 to January 2016. This publication details leading developments within the industry during this period.


2015 witnessed numerous major advances enabled by nanotechnology in consumer electronics, medicine and coatings technology. Quantum Dot TVs have made a huge market impact and global funding and commercialization of graphene has continued to grow.


The Nanotech Review focuses on the leading nanomaterials under development, with coverage on quantum dots, nanocoatings, graphene, nanocellulose and new 2-D nanomaterials. There are contributions from leading nanotech companies, alliowing readers a unique market insight into these exceptional materials.


This publication provides a comprehensive review the state of the nanotech sector at present, it’s development, and future prospects. Strategic analysis of the key global markets nanotech will impact is the core theme.


Our expert team and industry contributors bring you fascinating, authoritative articles, illustrated with stunning images on nanotech in markets from oil & gas to biomedicine & healthcare.


Key Nano-technologies and Markets


Key Nanomaterials Markets


  • Nanomaterials in the Li-ion battery market

  • Quantum Dot TVs

  • Graphene in flexible displays

  • Graphene in 3D printing

  • Graphene in biomedicine

  • Graphene in coatings

  • Nanomaterials in the Wound Management Market

  • Nanotech in Food Packaging

  • Nanotech in Anti-counterfeit Applications

  • Nanomaterials in Threat Detection and Prevention

  • Anti-reflective nanocoatings

Key Nanomaterials


  • Quantum Dots

  • Graphene conductive inks

  • Graphene transparent films

  • 2D Nanomaterials beyond graphene

Key Industry Developments in the last 12 months


  • Graphene industry developments

  • Nanomedicine industry developments

  • Nanomaterials regulation

  • Carbon nanotubes industry developments

  • Nanowires industry developments

  • Quantum dots industry developments

  • Nanocoatings industry developments

  • Nanoenergy industry developments

  • Nanocellulose industry developments

Learn more about nanotechnology and nanomaterials market and publications that provide informed perspective and relevant analysis of emergent technologies.


 



Key Nano-technologies and Markets 2015-2016

Electronics Assembly IPC Standards Collection

It takes a lot to be successful in electronics assembly. Get the reference documents you need on all aspects of the job from solder materials, component characteristics, manufacturing and quality requirements, and acceptability of the final assembly. Includes 41 key documents for SMT and through-hole assembly, including the widely used IPC-A-610, J-STD-001 and IPC-A-620.  Get the complete IPC standards collection and save 55% on individual document prices. Users can also purchase and download IPC standards from Electronics.ca Publications by following IPC specs below.


Electronics Assembly IPC Standards Collection Includes


IPC 2611 Generic Requirements for Electronic Product Documentation
IPC 2612-2 Sectional Requirements for Electronic Diagramming Documentation (Schematic and Logic Descript
IPC 2612-1 Sectional Requirements for Electronic Diagramming Symbol Generation Methodology
IPC 3406 Guidelines for Electrically Conductive Surface Mount Adhesives
IPC 3408 General Requirements for Anisotropically Conductive Adhesives Films
IPC 7095C Design and Assembly Process Implementation for BGAs
IPC 7351B Generic Requirements for Surface Mount Design and Land Pattern Standard
IPC 9202 Material and Process Characterization/Qualification Test Protocol for Assessing Electrochemic
IPC 9203 Users Guide to IPC-9202 and the IPC-B-52 Standard Test Vehicle
IPC 9701A Performance Test Methods and Qualification Requirements for Surface Mount Solder Attachments
IPC 9702 IPC/JEDEC Monotonic Bend Characterization of Board-Level Interconnects
IPC 9703 IPC/JEDEC Mechanical Shock Test Guidelines for Solder Joint Reliability
IPC 9704A Printed Circuit Assembly Strain Gage Test Guideline
IPC 9706 Mechanical Shock In-situ Electrical Metrology Test Guidelines for FCBGA SMT Component Solder
IPC 9707 Spherical Bend Test Method for Characterization of Board Level Interconnects
IPC 9708 Test Methods for Characterization of Printed Board Assembly Pad Cratering
IPC 9709 Test Guidelines for Acoustic Emission Measurement during Mechanical Testing
IPC A-610F Acceptability of Electronics Assembly
IPC A-620B IPC/WHMA-A-620B Requirements and Acceptance for Cable and Wire Harness Assemblies
IPC C-406 Design & Application Guidelines for Surface Mount Connectors
IPC CA-821 General Requirements for Thermally Conductive Adhesives
IPC CC-830B Qualification and Performance of Electrical Insulating Compound for Printed Wiring Assemblies
IPC CM-770E Component Mounting Guidelines for Printed Boards
IPC D-326A Information Requirements for Manufacturing Printed Circuit Boards and Other Electronic Assemblies
IPC FC-234A Pressure Sensitive Adhesive (PSA) Assembly Guidelines for Flexible, Rigid or Rigid-Flex Printed Boards
IPC HDBK-001E Handbook and Guide to Supplement J-STD-001
IPC HDBK-005 Guide to Solder Paste Assessment
IPC JP002 JEDEC/IPC Current Tin Whiskers Theory and Mitigation Practices Guideline
IPC J-STD-001F Requirements for Soldered Electrical and Electronic Assemblies
IPC J-STD-002D EIA/IPC/JEDEC J-STD-002D Solderability Tests for Component Leads, Terminations, Lugs, Terminals and Wires
IPC J-STD-003C-WAM1 Solderability Tests for Printed Boards
IPC J-STD-004B Requirements for Soldering Fluxes
IPC J-STD-005A Requirements for Soldering Pastes
IPC J-STD-006C Requirements for Electronic Grade Solder Alloys and Fluxed and Non-Fluxed Solid Solders
IPC J-STD-020D-1 IPC/JEDEC Moisture/Reflow Sensitivity Classification for Nonhermetic Solid State Surf
IPC J-STD-027 Mechanical Outline Standard for Flip Chip and Chip Size Configurations
IPC J-STD-028 Performance Standard for Construction of Flip Chip and Chip Scale Bumps
IPC J-STD-030A Selection and Application of Board Level Underfill Materials
IPC J-STD-033C-1 Handling, Packing, Shipping and Use of Moisture/Reflow Sensitive Surface Mount Device
IPC J-STD-075 Classification of Non-IC Electronic Components for Assembly Processes
IPC MC-790 Guidelines for Multichip Module Technology Utilization
IPC S-816 SMT Process Guideline & Checklist
IPC SM-780 Component Packaging & Interconnecting with Emphasis on Surface Mounting
IPC SM-784 Guidelines for Chip-on-Board Technology Implementation
IPC SM-785 Guidelines for Accelerated Reliability Testing of Surface Mount Attachments
IPC SM-817 General Requirements for Dielectric Surface Mounting Adhesives
IPC SM-817A General Requirements for Dielectric Surface Mount Adhesives
IPC T-50K Terms and Definitions for Interconnecting and Packaging Electronic Circuits
IPC TR-001 An Introduction to Tape Automated Bonding Fine Pitch Technology


Downlaod ipc standards



Electronics Assembly IPC Standards Collection

New IPC Standards For Printed Circuit Boards

NEW IPC-A-610F Acceptability of Electronic Assemblies


IPC-A-610 is the most widely used standard for circuit board production in the world. IPC-A-610F illustrates acceptability requirements for electronic assemblies with over 814 colour images and illustrations. Topics include flex attachment, board in board, part on part, lead free, component orientation and soldering criteria for through-hole, SMT (new termination styles) and discrete wiring assemblies, mechanical assembly, cleaning, marking, coating, and laminate requirements. This revision F includes two new SMT termination styles, and changes in plated-through hole fill and BGA void criteria. Major topics include flex attachment, board-in-board, part-on-part, both lead-free and tin-lead criteria, component orientation and soldering criteria for through hole, SMT, cleaning, marking, coating and laminate requirements. IPC Standards for printed circuit boards: order and download IPC A-610F


IPC-A-610 is invaluable for all inspectors, operators and trainers. Revision F has 814 photos and illustrations of acceptability criteria — 86 of them new or updated. The document is most often used with the material and process standard IPC J-STD-001.


NEW J-STD-001F Requirements for Soldered Electrical and Electronic Assemblies


J-STD-001F is recognized worldwide as the sole industry-consensus standard covering soldering materials and processes. This revision includes support for both traditional solder alloys and for lead-free manufacturing. Revision to plated-through hole, PTH, minimum fill requirements; criteria for two new SMT termination types; and expanded conformal coating criteria. Clarification of criteria descriptions for easier understanding. The requirements for all three classes of construction are included. Full color illustrations are provided for clarity. This standard fully complements IPC-A-610F and is supported by IPC-HDBK-001. Order and  download IPC J-STD-001F


IPC-7527 Requirements for Solder Paste Printing


IPC-7527 covers the many aspects of solder paste application, from initial placement on the board through production and testing. To equipment operators, the new standard serves as a reference guide with more than 50 photos packed into the 15-page standard.  IPC-7527 provides the operators with a standard that will help them make the right decisions when they face issues in production, and no professionals or specialists are present.


While there are standards that detail what a completed assembly should look like, IPC-7527 is the first one to provide requirements for what the printed solder paste should look like and how far off centers can be before they’re considered defects. It covers everything from basic squeegees to jet dispensers and needle dispensers to closed print heads. In addition, IPC-7527 provides information on automated paste inspection using either cameras or lasers. Order and download IPC-7527.


IPC-7093 Design and Assembly Process Implementation for Bottom Termination components




This standard describes the design and assembly challenges for implementing Bottom Termination surface mount Components (BTCs) whose external connections consist of metallized terminals that are an integral part of the component body. The BTCs in this document include all types and forms of bottom-only termination components intended for surface mounting. This includes such industry descriptive nomenclature as QFN, DFN, SON, LGA, MLP and MLF. The focus of the information is on critical design, assembly, inspection, repair, and reliability issues associated with BTCs.


The target audiences for this document are managers, design and process engineers, and operators and technicians who deal with the electronic design, assembly, inspection and repair processes. The intent is to provide useful and practical information to those companies who are using or considering tin/lead, lead free, adhesives or other forms of interconnection processes for assembly of BTC type components. Although not a complete recipe, the document identifies many of the characteristics that influence the successful implementation of robust and reliable assembly processes and provides guidance information to component suppliers regarding the issues being faced in the assembly process. Order and  download IPC-7093


IPC-7095C Design and Assembly Process Implementation for BGAs




Implementing ball grid array (BGA) and fine-pitch ball grid array (FBGA) technology presents some unique challenges for design, assembly, inspection and repair personnel. IPC-7095C delivers useful and practical information to anyone currently using BGAs or FBGAs. Many issues have become especially important due to the change in the alloys of the ball, the ball shape, and the attachment procedures. The major emphasis of Revision C is to provide information on some of the new mechanical failure issues such as cratering or laminate defects caused after assembly.


In addition to providing guidelines for BGA inspection and repair, IPC-7095C addresses reliability issues and the use of lead-free joint criteria associated with BGAs. There are many photographs of X-ray and endoscope illustrations to identify some of the conditions that the industry is experiencing in the implementation of BGA assembly processes. Order and download IPC-7095C


IPC-7711/7721B Rework, Modification and Repair of Electronic Assemblies




This guide includes everything needed for repair and rework of electronic assemblies and printed circuit boards! IPC-7711B/7721B Rework, Modification and Repair of Electronic Assemblies has received a complete procedure by procedure update to assure applicability to both lead free and traditional SnPb soldered assemblies. Order and download IPC-7711/7721B.




Purchase and Download IPC Standards For Printed Circuit Boards from Electronics.ca Publications today!


Effective January 1, 2015, a price increase of 4 percent will be implemented on all standards.




IPC Standards For PCB Design and CADIPC Member

 











New IPC Standards For Printed Circuit Boards

Monday, April 18, 2016

Global Printed Circuit Boards Market Data 2015

Electronics.ca Publications unearths interesting findings that may reveal golden opportunities for electronics manufacturers. Here are some of the latest discoveries of interest to PCB fabricators:


According to “Monthly IPC North American PCB Market Report” Flexible circuit orders in North America are booming this year and the forecast for the next year is for double-digit sales growth. The North American flex market has grown over the past 3 years while the rigid PCB market has shrunk. Globally, the flex market is now estimated at over $10.2 billion. Yet, U.S. production accounts for only 3.4 percent of the world’s flexible circuits.


The monthly North American PCB Market Report provides timely data on PCB market size, sales and order growth, book-to-bill ratios and near-term forecasts. Data are reported for rigid PCBs and flexible circuits separately. The rigid PCB data are further segmented by company size tiers, and flex data includes trends in bare circuit versus assembly revenue sources. Trends in sales of boards to the military and medical markets are reported for both rigid and flex, as well as trends in prototype sales.


According to Global and China FPCB (Flexible Printed Circuit Board) Industry Report, 2014 was a bumper year for most FPCB companies, and the output value of the entire PCB industry reached USD12.5 billion, rising by 10.5% from the previous year. In 2015, the prices of bulk commodities (particularly the copper price) plummet, which will greatly reduce the raw material costs of PCB companies and help raise their profitability. Larger screen size of mobile phone requires larger FPCB. The FPCB market is expected to grow 8.6% in 2015 as the smartphone market can not continue its rapid growth and the tablet PC is in recession.


In 2014, the euro, the NTD and the yen significantly devalued, while the South Korean won appreciated, which not only hit a serious blow to the competitiveness of South Korean FPCB enterprises, but minified the profit of South Korean PCB enterprises. The revenue and profit margin of all South Korean PCB companies declined, for example, Flexcom’s revenue slumped by more than 50%, the giant Interflex’s revenue dropped 33% and its operating margin turned to be the negative 14.2%, which showed the power of the currency war.


Benefiting from the currency depreciation, Taiwanese and European companies witnessed soaring profit margins. More than half of Japanese companies did not benefit from the depreciation of the yen because they set up production bases overseas, but still better than South Korean companies.


In the downstream market, the biggest change in 2014 lied in: HDD saw the first growth after three consecutive years of decline. The global HDD shipment amounted to 564 million units, an increase of 2.4% from 2013. Previously, insiders were optimistic about the prospect of SSD instead of HDD; but actually, the SSD price remained high, the tablet PC market decayed, while the laptop computer market recovered to growth after three consecutive years of downturn. At the same time, the development of SSD did not restrict HDD; the new network economy and the big data era stimulated the demand for servers and HDD. HDD will still be the mainstream in the next three to five years, and the HDD shipment is expected to reach 621 million units in 2019. Japanese companies focusing on HDD-use FPCB performed exceedingly well in 2014, for example, the leader NOK (Mektron) achieved the revenue growth rate of 28% and the operating margin of 8.7% (rising from the negative 10.6%), Nitto’s revenue surged by 31%.


The competitiveness of South Korean and American companies weakened significantly. The strong USD made MFLEX’s revenue fall dramatically. The depreciation of NTD facilitated Taiwanese companies to grow by leaps and bounds; meanwhile, Apple greatly reduced orders from South Korean companies, while placed more orders with Japanese and Taiwanese companies in accordance with the principle of the nearest supply. The revenue of ZDT under Foxconn soared 60% in 2014.


Global Printed Circuit Boards Market Data


 



Global Printed Circuit Boards Market Data 2015

200 Million Smart Home Safety and Security Devices by 2020

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Smart Home Safety & Security – A Market Dynamics Report”.  According to this report, competition is intensifying for smart home service providers. This market research report covers the global IP security/smart home market as well as smart home safety and security products and services in the managed services, retail and professional installer channels. 


“Cloud-based open platforms are disrupting and creating new opportunities for smart home services,” said Mareca Hatler ON World’s research director. “Consumers are demanding greater choices as well as lower costs and smart home service providers are responding through strategic alliances and open source initiatives.”


ON World’s recently completed survey with 1,000 U.S. adults found that 30% are likely to adopt a smart home system within three years. Over half would like to have at least two integrated smart home applications and 60% of these would like three or more integrated applications. For half the respondents, safety and security is the primary reason they would adopt a smart home system.


Consumers are most satisfied with smart garage door openers, motion sensors and door locks, according to ON World’s evaluation of 170 smart home safety and security products with 20,000 product reviews. Some of the fastest growing product segments include video doorbells, smart smoke detectors and multi-purpose motion sensors.


Open source initiatives such as the AllSeen Alliance, OIC and mozaiq operations aim to accelerate smart home IoT product development and ensure interoperability across applications and transport layers. The AllSeen Alliance has grown rapidly over the past two years with over 100 members including smart home software, hardware and platform market leaders and innovators such as AT&T, Cisco, D-Link, Icontrol Networks, Legrand, LG, Honeywell, Iris by Lowe’s, Microsoft, Muzzley and Technicolor.


By 2020, there will be 200 million Internet connected wireless smart home security and safety products installed worldwide. At this time, 53% will be sold through managed services providers down from three quarters this year as sales through the retail/DIY channel will increase faster.


“Smart Home Safety & Security – A Market Dynamics Report” covers the global IP security/smart home market including safety, security, HVAC/energy, lighting controls and others as well as 12 smart home safety and security product segments for the managed services, retail/DIY and professional installer channels. It includes the results from a survey with 1,000 U.S. consumers; an evaluation of 170 products and 20,000 reviews; a competitive analysis; and 6-year global forecasts for installed systems and devices, revenues for equipment, software and services, and annual unit shipments by market, product segment and technology.


smart home security

Smart Home Safety & Security – A Market Dynamics Report


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Report Contents:Smart Home Safety & Security – A Market Dynamics Report”.



200 Million Smart Home Safety and Security Devices by 2020

Friday, April 15, 2016

Worldwide ROADM Market Will Grow From $5.4 billion in 2015 to $8.8 Billion in 2020

Network Transmission Market Report and Analysis of Proposed Nokia / Alcatel-Lucent Merger


Nokia has recently announced that it is buying French telecom equipment maker Alcatel-Lucent for $16.6 billion. The merger of Nokia and Alcatel-Lucent will no doubt change the competitive landscape of the optical networking industry. A new report provides a quantitative forecast of a major part of the optical networking market that Nokia is buying into from its proposed purchase of Alcatel-Lucent.


According to a new market report “Network Transmission Market Analysis and Equipment Forecast: ROADMS, DWDM, Routers and Switches and the Impact of Net Neutrality”, the worldwide ROADM market will grow from $5.4 billion in 2015 to $8.8 billion in 2020. In addition to the ROADMs market, the report provides detailed analysis and forecasts for DWDM, Routers, and switches in North America and Worldwide.


This report is focused on forecasting demand for specific types of carrier-class network transmission equipment: ROADMS, DWDM, Routers and Switches.


To make that forecast it will investigate the demand parameters of this situation, which are primarily traffic forecasts. After reviewing the regulatory climate (Net Neutrality), and the market demand, the Report will look at capital expenditure forecasts as a surrogate for Supply. Then it will provide forecasts for various classes (ROADMs, DWDM, Routers and Switches) of optical network equipment in this environment.


Network Transmission Market Analysis Provides:


  • Equipment descriptions and sales forecasts for ROADMS, DWDM, Routers, and Switches through 2020.

  • A discussion of Net Neutrality, the players, the issues, and its impact on equipment sales.

  • Traffic forecasts for the North American Internet through 2020

  • N.A. and World forecasts for Mobile Traffic, Advanced Access Architecture lines(FiOS, U-Verse, Google), High-Speed lines (XDSL and cable modems) and International Traffic (North America only) through 2020.

  • Capital Expenditure forecasts for the major telecom companies through 2020.

  • Listing of Major Vendors in the Transmission Equipment space.

This report covers the very current topic of Network Neutrality, which is much in the headlines as this is being written, and its forecasted impact on network equipment sales.


Network Transmission ReportThe study also examines the network traffic and, through analysis, shows that traffic from four major sources (AAA lines, H-H lines, Mobile lines and International) is responsible for the vast preponderance of traffic and traffic growth. Forecasts are provided (through 2020) for these classes of traffic and for the Internet as a whole. Based on the information from the traffic forecasts, the uncertainty from regulation (Net Neutrality) and the capital investment plans and forecasts, the Report will then provide equipment sales forecasts (units and dollars) for ROADMs, DWDM, Routers, and Switches (all carrier class) through 2020 for North America and for the World. Detailed descriptions of each type of equipment being forecast is also provided.


 


 


 



Worldwide ROADM Market Will Grow From $5.4 billion in 2015 to $8.8 Billion in 2020

Electronics Assembly IPC Standards Collection

It takes a lot to be successful in electronics assembly. Get the reference documents you need on all aspects of the job from solder materials, component characteristics, manufacturing and quality requirements, and acceptability of the final assembly. Includes 41 key documents for SMT and through-hole assembly, including the widely used IPC-A-610, J-STD-001 and IPC-A-620.  Get the complete IPC standards collection and save 55% on individual document prices. Users can also purchase and download IPC standards from Electronics.ca Publications by following IPC specs below.


Electronics Assembly IPC Standards Collection Includes


IPC 2611 Generic Requirements for Electronic Product Documentation
IPC 2612-2 Sectional Requirements for Electronic Diagramming Documentation (Schematic and Logic Descript
IPC 2612-1 Sectional Requirements for Electronic Diagramming Symbol Generation Methodology
IPC 3406 Guidelines for Electrically Conductive Surface Mount Adhesives
IPC 3408 General Requirements for Anisotropically Conductive Adhesives Films
IPC 7095C Design and Assembly Process Implementation for BGAs
IPC 7351B Generic Requirements for Surface Mount Design and Land Pattern Standard
IPC 9202 Material and Process Characterization/Qualification Test Protocol for Assessing Electrochemic
IPC 9203 Users Guide to IPC-9202 and the IPC-B-52 Standard Test Vehicle
IPC 9701A Performance Test Methods and Qualification Requirements for Surface Mount Solder Attachments
IPC 9702 IPC/JEDEC Monotonic Bend Characterization of Board-Level Interconnects
IPC 9703 IPC/JEDEC Mechanical Shock Test Guidelines for Solder Joint Reliability
IPC 9704A Printed Circuit Assembly Strain Gage Test Guideline
IPC 9706 Mechanical Shock In-situ Electrical Metrology Test Guidelines for FCBGA SMT Component Solder
IPC 9707 Spherical Bend Test Method for Characterization of Board Level Interconnects
IPC 9708 Test Methods for Characterization of Printed Board Assembly Pad Cratering
IPC 9709 Test Guidelines for Acoustic Emission Measurement during Mechanical Testing
IPC A-610F Acceptability of Electronics Assembly
IPC A-620B IPC/WHMA-A-620B Requirements and Acceptance for Cable and Wire Harness Assemblies
IPC C-406 Design & Application Guidelines for Surface Mount Connectors
IPC CA-821 General Requirements for Thermally Conductive Adhesives
IPC CC-830B Qualification and Performance of Electrical Insulating Compound for Printed Wiring Assemblies
IPC CM-770E Component Mounting Guidelines for Printed Boards
IPC D-326A Information Requirements for Manufacturing Printed Circuit Boards and Other Electronic Assemblies
IPC FC-234A Pressure Sensitive Adhesive (PSA) Assembly Guidelines for Flexible, Rigid or Rigid-Flex Printed Boards
IPC HDBK-001E Handbook and Guide to Supplement J-STD-001
IPC HDBK-005 Guide to Solder Paste Assessment
IPC JP002 JEDEC/IPC Current Tin Whiskers Theory and Mitigation Practices Guideline
IPC J-STD-001F Requirements for Soldered Electrical and Electronic Assemblies
IPC J-STD-002D EIA/IPC/JEDEC J-STD-002D Solderability Tests for Component Leads, Terminations, Lugs, Terminals and Wires
IPC J-STD-003C-WAM1 Solderability Tests for Printed Boards
IPC J-STD-004B Requirements for Soldering Fluxes
IPC J-STD-005A Requirements for Soldering Pastes
IPC J-STD-006C Requirements for Electronic Grade Solder Alloys and Fluxed and Non-Fluxed Solid Solders
IPC J-STD-020D-1 IPC/JEDEC Moisture/Reflow Sensitivity Classification for Nonhermetic Solid State Surf
IPC J-STD-027 Mechanical Outline Standard for Flip Chip and Chip Size Configurations
IPC J-STD-028 Performance Standard for Construction of Flip Chip and Chip Scale Bumps
IPC J-STD-030A Selection and Application of Board Level Underfill Materials
IPC J-STD-033C-1 Handling, Packing, Shipping and Use of Moisture/Reflow Sensitive Surface Mount Device
IPC J-STD-075 Classification of Non-IC Electronic Components for Assembly Processes
IPC MC-790 Guidelines for Multichip Module Technology Utilization
IPC S-816 SMT Process Guideline & Checklist
IPC SM-780 Component Packaging & Interconnecting with Emphasis on Surface Mounting
IPC SM-784 Guidelines for Chip-on-Board Technology Implementation
IPC SM-785 Guidelines for Accelerated Reliability Testing of Surface Mount Attachments
IPC SM-817 General Requirements for Dielectric Surface Mounting Adhesives
IPC SM-817A General Requirements for Dielectric Surface Mount Adhesives
IPC T-50K Terms and Definitions for Interconnecting and Packaging Electronic Circuits
IPC TR-001 An Introduction to Tape Automated Bonding Fine Pitch Technology


Downlaod ipc standards



Electronics Assembly IPC Standards Collection