Friday, November 28, 2014

Renewable Power Growth in the US and Canada Supported by Strong Local Policies

The US and Canada are global leaders in renewable power generation. The growth momentum of their renewable industries has primarily been driven by the support mechanisms provided by federal and state governments. Although both countries have federal regulations in place for renewable industry, states and provinces with strong policy frameworks have been the leading contributors to installed capacity, and the pattern of development has largely been dependent on the support mechanisms provided.


In the US, the growth of the renewable energy industry has been led by the state-level Renewable Portfolio Standards (RPS), combined with other tax incentives and subsidies. California and Texas, which have been providing policy support to the renewable energy industry for more than a decade, are the leaders in renewable capacity in the US. The Canadian government is supporting renewable energy with its ecoEnergy program, and Ontario, with its comprehensive Feed-in Tariff (FiT) program, is the leading province in terms of renewable power capacity.


Canada is a leading country in terms of the use of renewable energy resources for electricity generation and heating. In 2013, renewable energy, including small hydropower, accounted for 8.9% of its electricity generation. Wind power is the most prominent source of renewable energy, generating around 39% of Canada’s renewable total – including hydropower – in 2013.


Renewable Energy HandbookThe new report available from Electronics.ca Publications,  “North and South America Renewable Energy Policy Handbook 2014“, presents an in-depth analysis of the renewable energy policies across the major countries in North and South America namely the US, Canada, Argentina, Brazil and Mexico. It also presents the major renewable policy frameworks in place in some of the major states in the US and Canada.  This report provides the current and future renewable energy targets and plans along with the present policy framework, giving a fair idea of overall growth potential of their renewable energy industry. Major technology specific policies and incentives are also provided in each of these countries. Finally, the research presents insights to major policy initiatives for the market development of renewable energy sources such as wind, solar, geothermal, biopower and biofuels.


 



Renewable Power Growth in the US and Canada Supported by Strong Local Policies

Conductive Paste Market Analysis

The conductive ink and conductive paste business is a large market that will generate $1.6 billion in 2014 in revenue at the ink/paste level. This market however is segmented, consisting of many emerging and mature markets. Overall, the market will experience 4.5% CAGR over the coming decade, although growth will be unevenly spread with several target markets experiencing rapid growth while others decline. This represents both opportunities as well as risk for all market participants. At the same time, emerging technologies and alternatives are improving fast too, increasingly becoming price and performance competitive with mature incumbents. This too, coupled with fluctuating base metal prices, suggests that companies must develop the right technology and market strategy to benefit from this changing market landscape.


The photovoltaic sector is a large target market for conductive paste. It however underwent a period of distress characterised by tumbling prices, bankruptcies and consolidation. This was triggered by the rapid expansion of production capacity in China and the simultaneous reduction of subsidies in Europe. In the same period however, other markets such as the touch screen and the automotive (with conductive inks/paste) sectors experienced continued growth while many others remained at a nascent or emerging state. In the background to all this, the financial crisis impacted the price of raw silver (the dominant technology), causing it to increase by 4.5 times between 2009 and 2012. These trends had huge implications for the market, strongly affecting the demand and changing its composition, while generating a global wave of interest in alternatives.


Silver flake paste is mature and thus unlikely to show further performance improvement or cost reduction (unless base metal prices fall). At the same time, silver nanoparticles will improve, particularly as large corporations with capacity and leverage enter the scene. The trend towards alternatives such as copper and silver alloys will also continue, particularly in Japan where many companies offer different migration-free and relatively stable copper pastes and curing techniques. This is despite the fact that the switching motive is now weakened thanks to reducing raw silver prices. Graphene, PEDOT and carbon nanotubes will all find niche applications. For example, graphene is already in the RFID and smart packaging sectors thank to its low cost, fast printing and low curing temperature. Sintering techniques will also change and/or improve with photo-sintering registering particular success as it increases processing speeds and brings compatibility with low-temperature substrates.


Ten year forecast for the conductive ink and conductive paste market


Conductive Paste Market


 


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report: Conductive Ink Markets 2014-2024: Forecasts, Technologies, Players.


 



Conductive Paste Market Analysis

Thursday, November 27, 2014

Printing Inks - Global Strategic Business Report

This report analyzes the worldwide markets for Printing Inks in US$ Thousands by the following Product Segments: Lithographic Inks, Flexographic Inks, Gravure Inks, Digital Inks, and Other Inks. The report provides separate comprehensive analytics for the US, Canada, Japan, Europe, Asia-Pacific, Middle East, and Latin America. Annual estimates and forecasts are provided for the period 2013 through 2020. Also, a seven-year historic analysis is provided for these markets. Market data and analytics are derived from primary and secondary research. The report profiles 201 companies including many key and niche players such as - Dainichiseika Color & Chemicals Mfg. Co., Ltd.; DIC Corporation; Flint Group; FUJIFILM Sericol UK Ltd.; Sakata Inx Corporation. Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications" web site. View the report: Printing Inks - Global Strategic Business Report.

Air Traffic Control (ATC) Equipment Industry Report

This report analyzes the worldwide markets for Air Traffic Control (ATC) Equipment in US$ Thousands by the following Product Segments: Air Traffic Control Communications Equipment, Air Traffic Control Navigation Equipment, and Air Traffic Control Surveillance Equipment. The report provides separate comprehensive analytics for the US, Canada, Japan, Europe, Asia-Pacific, Latin America, and Rest of World. Annual estimates and forecasts are provided for the period 2013 through 2020. Also, a seven-year historic analysis is provided for these markets. Market data and analytics are derived from primary and secondary research. The report profiles 50 companies including many key and niche players such as - Advanced Navigation & Positioning Corporation Inc.; The Aeronav Group; BAE Systems Plc; Becker Avionics Inc.; CNS Systems AB. Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications" web site. View the report: Air Traffic Control (ATC) Equipment - A Global Strategic Business Report.

Wednesday, November 26, 2014

Electric Double Layer Capacitors Market Report

According to Electrochemical Double Layer Capacitors Market Report, the EDLC super capacitors market will be worth over $11 billion in ten years. This broad-ranging report on supercapacitors and supercabatteries has up to date ten year forecasts and analysis of market, applications, technology, patent and profit trends and the manufacturers and researchers involved.


55% of the manufacturers and intending manufacturers of supercapacitors/supercabatteries (EDLC, AEDLC) are in East Asia, 28% are in North America but Europe is fast asleep at only 7%. Yet, being used for an increasing number of purposes in electric vehicles, mobile phones, energy harvesting, renewable energy and other products of the future, this market is roaring up to over $11 billion in ten years with considerable upside potential.


This report concerns Electrochemical Double Layer Capacitors (EDLCs). For brevity, we mainly use the second most popular word for them – supercapacitors. The third most popular term for them – ultracapacitors – is often used in heavy electrical applications. Included in the discussion and forecasts are so-called Asymmetric Electrochemical Double Layer Capacitors (AEDLCs) better known as supercabatteries. The report also features patent trends of supercapacitor technologies.


Supercapacitors are a curiously neglected aspect of electronics and electrical engineering with a multi-billion dollar market rapidly emerging. For example, for land, water and airborne electric vehicles, there are about 200 serious traction motor manufacturers and 110 serious traction battery suppliers compared to just a few supercapacitor manufacturers. In all, there are no more than 66 significant supercapacitor manufacturers with most concentrating on the easier small ones for consumer electronics such as power backup. However, in a repetition of the situation with rechargeable batteries, the largest part of the market has just become the heavy end, notably for electric and conventional vehicles.


Supercapacitors and supercabatteries mainly have properties intermediate between those of batteries and traditional capacitors but they are being improved more rapidly than either. That includes improvement in cost and results in them not just being used to enhance batteries but even replacing batteries and capacitors in an increasing number of applications from renewable energy down to microscopic electronics. For example, your mobile phone may have better sound and flash that works at ten times the distance because a supercapacitor has taken over these functions from conventional capacitors.


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View the report: Electrochemical Double Layer Capacitors: Supercapacitors 2014-2024.


 



Electric Double Layer Capacitors Market Report

Global Tablet PC Shipments to Reach 455 Million by 2017

Falling prices and advances in display technology will lead to higher shipments of tablet PCs, which will increasingly replace notebook PC shipments in 2014 and beyond. According to the DisplaySearch Quarterly Mobile PC Shipment and Forecast Report, global tablet PC shipments will rise to 315 million in 2014, comprising more than 65% of the market. By 2017 tablet PC shipments will climb to 455 million, encompassing nearly 75% of the mobile PC market.


The worldwide tablet PC average selling price (ASP) is expected to fall from $311 in 2014 to $296 in 2017, which will help increase adoption, particularly in emerging regions where first-time PC buyer penetration rates are the highest. As new technologies and features hit the market, consumers will have more options to choose from, including AMOLED and other display technologies, a greater variety of screen sizes, and higher resolutions.


Following weaker than expected shipment demand from the back-to-school and holiday seasons in 2013, notebook PC brands have reduced their shipment estimates for 2014, with an expected decline of nearly 7% Y/Y. Also, with penetration of less than 10% for 2013, touch panel integration in notebook PCs has not increased demand as much as expected. The result is weaker than expected demand for standard notebook PCs and ultra-slim PCs. Standard notebook PC shipments will decline from 155 million in 2013 to 105 million in 2017. Ultra-slim PC shipments will rise from 26 million in 2013 to 57 million in 2017.


The weak shipment forecast is forcing brands to re-evaluate their pricing strategies, and a rise in selling prices is expected. Features such as new designs and higher resolution displays will be used to justify higher selling prices. Standard notebook PC prices are expected to rise from $667 in 2013 to $693 in 2014, while ultra-slim PC prices are expected to rise from $885 to $936 in 2014.


In the tablet PC market, oxide, LTPS, AMOLED, and other emerging screen technologies, as well as 8.4”, 10.5”, and other new sizes, are expected to give brands increased flexibility to offer more differentiated products to consumers. At the same time, strong market trends continue to drive shipment growth. The shift towards smaller sizes continues to enable lower price points in emerging regions (i.e., Eastern Europe, China, Asia Pacific, Latin America, and Middle East and Africa), which will account for more than 60% of worldwide shipments in 2014. Tablet PCs with screens smaller than 9” will comprise approximately two-thirds of overall shipments in 2014. The most tablet PC market share will go to 7” screens, exceeding 30% by 2017.


Emerging regions will account for an increasing share of worldwide mobile PC shipments, growing from 57%, or 274 million in 2014, to 62%, or 385 million, by 2017. Growth will be led by tablet PCs, which will account for 70% of mobile PC shipments to emerging regions in 2014. Nearly half of tablet PC shipments into emerging regions in 2014 will be devices retailing for less than $200.


The DisplaySearch Quarterly Mobile PC Shipment and Forecast Report delivers insight and data into worldwide and regional mobile PC shipments for both global and regional brands.



Global Tablet PC Shipments to Reach 455 Million by 2017

Monday, November 24, 2014

3D NAND Cost and Investment Implications

The NAND flash industry is on the cusp of a technology inflection point.  2D NAND is reaching its scaling limits with 3D NAND its anointed successor.


In the 2D NAND era, the underlying process technology (with a few exceptions) is essentially the same amongst all the NAND flash manufacturers.


However, in the 3D NAND era, all the NAND flash manufacturers are developing different 3D NAND concepts with variations in the process implementation. The different processes will impact the investment and manufacturing cost for each of the 3D NAND technologies.


This report provides a detailed analysis of the fab and manufacturing implications of 3D floating gate and charge trap NAND concepts from Samsung, Toshiba, SK Hynix and Intel-Micron versus 16nm 2D NAND.  The analysis is based on a bottoms-up process flow analysis for each 3D NAND technology and 16nm 2D NAND.


Some of the questions addressed in this report include:


– What are the main drivers of the process complexity for 2D NAND and 3D NAND?

– What is the tool commonality between 3D NAND and 2D NAND?

– What is the cost impact of moving the CMOS under the array in 3D NAND?

– How much does it cost to build a Greenfield 3D NAND fab and how does it compare to a 2D NAND fab?  What is the equipment footprint required and the breakdown of the investment by process modules?

– What is the front end manufacturing cost of a 3D NAND wafer compared to a 2D NAND wafer?

– What is the investment required to convert an existing 2D NAND fab to 3D NAND?  What is the impact on the fab cycle time and manufacturing capacity?

– What is the incremental investment required to transition a 32 layer 3D NAND fab to 64 layers?  What is the impact on fab cycle time and manufacturing capacity?


3D NAND Cost 3D NAND Cost and Investment Implications


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report:  Cost and Investment Implications of 3D NAND.


 



3D NAND Cost and Investment Implications

Global GaN Semiconductor Industry Report

Gallium Nitride is an upcoming alternate material to pure silicon in the field of semiconductors and electronics. Several advanced features of gallium nitride proved useful for semiconductors such as high-brightness emission and intensity when used in opto-semiconductors. High power efficiency, superior high frequency handling capacity, and flexibility are generally used in opto-semiconductors alongside various substrates such as Si, sapphire, SiC were discovered. Extensive research studies took place in the past decade to utilize gallium nitride for semiconductor devices and electronic systems in two major segments – power-semiconductors and opto-semiconductors. 


The penetration of GaN was the first in opto-semiconductors in 2001, followed by power semiconductors (merging both, pure-power and RF-power semiconductors) in 2007. Commercialization of GaN power semiconductors (discretes and ICs) started at a medium scale in 2008. While the penetration growth rate is healthy and substantial in opto-semiconductors, the penetration rate in power semiconductors is explosive. One of the prime reasons for this is the growing application areas in the medium-voltage (200 to 1 KV) ranges, where GaN offers unique and unbeatable power efficiency over pure silicon. Another reason is the superior capability offered by GaN to handle high switching frequencies (>1 GHz), particularly for RF-power functions such as power amplification and switching in RF devices. In power semiconductors, several transistors and diodes (and rectifiers) have been in the market since 2008, with extraordinary growth in the volume of power discretes (HEMTs, Diodes, and Rectifiers and FETs) boosting the total revenue of the SiC power semiconductors market. Another factor for revenue growth was from GaN power ICs, where new power ICs (hybrids) such as MMICs and RFICs were launched commercially every year by industry players after extensive R&D efforts on developing new technologies to enable the same. The complete GaN power semiconductors industry shifted to a mass-production scenario in 2011 with the success and revenue potential drawing the focus of several power semiconductor market giants.


The global GaN semiconductor industry’s value chain has grown to a vast network of players involved in various segments. There have been tremendous changes in the landscape of the value chain with several developments in segments such as raw material suppliers, EDA and design tool vendors, wafer manufacturers, wafer equipment vendors, foundry models, fabless players, fab facilities, IDMs, ODMs, OEMs, ATP players, and so on. The wafer manufacturers’ and wafer equipment manufacturers’ landscape is very dynamic, with increasing number of players in these two segments, and has a high level of collaborations, agreements, and partnerships activities among the players. The ODMs, IDMs segments are obviously the fastest growing segments with several new product launches, new technology launches taking place every year. In the supply chain landscape, both – upstream and downstream sectors have different landscapes, with new wafer manufacturing technologies related to epitaxy process, dyeing, etching, and wafer diameters playing a major role in the upstream and new device fabrication technologies related to technology node, circuit design, and assembly and packaging making their mark in the downstream.


Asia-Pacific (excluding Japan) holds the largest share in GaN semiconductors market among all the geographic segments. Owing to huge market potential, increasing focus of the semiconductor industry and diversifying and strengthening value chain segments in the upcoming markets such as China, South Korea, and Taiwan, the revenue share from APAC (excluding Japan) is expected to grow at the fastest rate. North America and Europe are the primary establishment base markets for GaN semiconductors.


Currently, GaN wafer market is majorly in “Research & Development” phase. Several research organizations are currently involved in numerous research activities in all the fields of GaN wafer development to produce the high purity material with improved thickness.


As the high thickness wafers such as 6-inch are already introduced in the market, the market value would decrease across the forecast period for low thick wafers. ASP of 2-inch wafers are preferable for R&D purpose, however, for commercial use larger wafers are preferred. Thus, the decrease in demand in the coming years is expected to bring the price down for smaller wafers.


GaN has been identified to have superior properties; however, it is not yet commercialized to its fullest extent. The main reason for this is the ultra-high prices of the raw material and complex manufacturing processes. The expenditure is very high to produce the material with high quality aspects. Furthermore, this will not affect the market in the long term as the average selling prices are expected to come down in the future.


GaN wafers have the capability to serve a vast pool of applications especially in military and defense, consumer electronics, and industrial among others. However, GaN competes with SiC in these verticals due to its affordable prices.



Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site:  Gallium Nitride Semiconductor Devices and Substrate Wafer Market Forecast & Analysis to 2013 – 2022.



Global GaN Semiconductor Industry Report


Partial List of Tables:


Table 1 GAN Semiconductor Devices Market Revenue, By Geography, 2013 – 2022 ($Million)

Table 2 Global GAN Semiconductor Devices &Substrate Wafer Market, 2013-2022 ($Million)

Table 3 Properties of the Major Semiconductor Materials

Table 4 Global GAN Semiconductor Devices Market Versus Overall Semiconductor Devices Market Revenue, 2013 – 2022 ($Billion)

Table 5 Global GAN Semiconductor Devices Market Revenue, By Application Sectors, 2013 – 2022 ($Million)

Table 6 Global GAN Semiconductor Devices Market Volume,By Application Sectors, 2013 – 2022 (Million Units)

Table 7 GAN Wafer Market Revenue, By Application Sector, 2013-2022 ($Million)

Table 8 Computers Sector: GAN Semiconductor Devices Market Revenue, 2013 – 2022 ($Million)

Table 9 Computers Sector: GAN Semiconductor Devices Market Volume, 2013 – 2022 ($Million)

Table 10 GAN Semiconductor Devices Market Revenue from Computers Hardware Power Modules, By Geography,2013 – 2022 ($Million)

Table 11 GAN Semiconductor Devices Market Revenue from UPS Systems, By Geography, 2013 – 2022 ($Million)

Table 12 Communication Infrastructure Sector: GAN Semiconductor Devices Market Revenue, 2013 – 2022 ($Million)

Table 13 Communication Infrastructure Sector: GAN Semiconductor Devices Volume, 2013 – 2022 (Million Units)

Table 14 GAN Semiconductor Devices Market Revenue from Signal Amplifiers & Switching Systems, By Geography,2013 – 2022 ($Million)

Table 15 GAN Semiconductor Devices Market Revenue from Wireless Applications, By Geography, 2013 – 2022 ($Million)

Table 16 GAN Semiconductor Devices Market Revenue from Wired Communication Devices, By Geography,2013 – 2022 ($Million)

Table 17 GAN Semiconductor Devices Market Revenue from Satellite Communication Applications, By Geography, 2013 – 2022 ($Million)

Table 18 GAN Semiconductor Devices Market Revenue from Radar Applications, By Geography, 2013 – 2022 ($Million)

Table 19 GAN Semiconductor Devices Market Revenue from RF Applications, By Geography, 2013 – 2022 ($Million)

Table 20 Consumer Electronics Sector: GAN Semiconductor Devices Revenue, 2013 – 2022 ($Million)

Table 21 Consumer Electronics Sector: GAN Semiconductor Devices Volume, 2013 – 2022 (Million Units)

Table 22 GAN Semiconductor Devices Market Revenue from Inverters in Consumer Applications, By Geography, 2013 – 2022 ($Million)

Table 23 GAN Semiconductor Devices Market Revenue from Led Lighting in Consumer Applications, By Geography, 2013 – 2022 ($Million)

Table 24 GAN Semiconductor Devices Market Revenue from Switch Mode Consumer Power Supply Systems, By Geography,2013 – 2022 ($Million)

Table 25 Automotive Sector: GAN Semiconductor Devices Revenue, 2013 – 2022 ($Million)

Table 26 Automotive Sector: GAN Semiconductor Devices Volume, 2013 – 2022 (Million Units)

Table 27 GAN Semiconductor Devices Market Revenue from Electric Vehicles & Hybrid Electric Vehicles, By Geography, 2013 – 2022 ($Million)

Table 28 GAN Semiconductor Devices Market Revenue from Automotive Braking Systems, By Geography, 2013 – 2022 ($Million)

Table 29 GAN Semiconductor Devices Market Revenue from Rail Traction, By Geography, 2013 – 2022 ($Million)

Table 30 GAN Semiconductor Devices Market Revenue from Automobile Motor Drives, By Geography, 2013 – 2022 ($Million)

Table 31 Industrial, Power, Solar and Wind Sector: GAN Semiconductor Devices Revenue ($Million), 2013 – 2022

Table 32 Industrial, Power and Solar Sector: GAN Semiconductor Devices Volume (Million Units), 2013 – 2022

Table 33 GAN Semiconductor Devices Market Revenue from Smart Grid Power Systems, By Geography, 2013 – 2022 ($Million)

Table 34 GAN Semiconductor Devices Market Revenue from Wind Turbines and Wind Power Systems, By Geography,2013 – 2022 ($Million)


 



Global GaN Semiconductor Industry Report

Friday, November 21, 2014

New IPC Standards For Printed Circuit Boards

NEW IPC-A-610F Acceptability of Electronic Assemblies


IPC-A-610 is the most widely used standard for circuit board production in the world. IPC-A-610F illustrates acceptability requirements for electronic assemblies with over 814 colour images and illustrations. Topics include flex attachment, board in board, part on part, lead free, component orientation and soldering criteria for through-hole, SMT (new termination styles) and discrete wiring assemblies, mechanical assembly, cleaning, marking, coating, and laminate requirements. This revision F includes two new SMT termination styles, and changes in plated-through hole fill and BGA void criteria. Major topics include flex attachment, board-in-board, part-on-part, both lead-free and tin-lead criteria, component orientation and soldering criteria for through hole, SMT, cleaning, marking, coating and laminate requirements. IPC Standards for printed circuit boards: order and download IPC A-610F


IPC-A-610 is invaluable for all inspectors, operators and trainers. Revision F has 814 photos and illustrations of acceptability criteria — 86 of them new or updated. The document is most often used with the material and process standard IPC J-STD-001.


NEW J-STD-001F Requirements for Soldered Electrical and Electronic Assemblies


J-STD-001F is recognized worldwide as the sole industry-consensus standard covering soldering materials and processes. This revision includes support for both traditional solder alloys and for lead-free manufacturing. Revision to plated-through hole, PTH, minimum fill requirements; criteria for two new SMT termination types; and expanded conformal coating criteria. Clarification of criteria descriptions for easier understanding. The requirements for all three classes of construction are included. Full color illustrations are provided for clarity. This standard fully complements IPC-A-610F and is supported by IPC-HDBK-001. Order and  download IPC J-STD-001F


IPC-7527 Requirements for Solder Paste Printing


IPC-7527 covers the many aspects of solder paste application, from initial placement on the board through production and testing. To equipment operators, the new standard serves as a reference guide with more than 50 photos packed into the 15-page standard.  IPC-7527 provides the operators with a standard that will help them make the right decisions when they face issues in production, and no professionals or specialists are present.


While there are standards that detail what a completed assembly should look like, IPC-7527 is the first one to provide requirements for what the printed solder paste should look like and how far off centers can be before they’re considered defects. It covers everything from basic squeegees to jet dispensers and needle dispensers to closed print heads. In addition, IPC-7527 provides information on automated paste inspection using either cameras or lasers. Order and download IPC-7527.


IPC-7093 Design and Assembly Process Implementation for Bottom Termination components




This standard describes the design and assembly challenges for implementing Bottom Termination surface mount Components (BTCs) whose external connections consist of metallized terminals that are an integral part of the component body. The BTCs in this document include all types and forms of bottom-only termination components intended for surface mounting. This includes such industry descriptive nomenclature as QFN, DFN, SON, LGA, MLP and MLF. The focus of the information is on critical design, assembly, inspection, repair, and reliability issues associated with BTCs.


The target audiences for this document are managers, design and process engineers, and operators and technicians who deal with the electronic design, assembly, inspection and repair processes. The intent is to provide useful and practical information to those companies who are using or considering tin/lead, lead free, adhesives or other forms of interconnection processes for assembly of BTC type components. Although not a complete recipe, the document identifies many of the characteristics that influence the successful implementation of robust and reliable assembly processes and provides guidance information to component suppliers regarding the issues being faced in the assembly process. Order and  download IPC-7093


IPC-7095C Design and Assembly Process Implementation for BGAs




Implementing ball grid array (BGA) and fine-pitch ball grid array (FBGA) technology presents some unique challenges for design, assembly, inspection and repair personnel. IPC-7095C delivers useful and practical information to anyone currently using BGAs or FBGAs. Many issues have become especially important due to the change in the alloys of the ball, the ball shape, and the attachment procedures. The major emphasis of Revision C is to provide information on some of the new mechanical failure issues such as cratering or laminate defects caused after assembly.


In addition to providing guidelines for BGA inspection and repair, IPC-7095C addresses reliability issues and the use of lead-free joint criteria associated with BGAs. There are many photographs of X-ray and endoscope illustrations to identify some of the conditions that the industry is experiencing in the implementation of BGA assembly processes. Order and download IPC-7095C


IPC-7711/7721B Rework, Modification and Repair of Electronic Assemblies




This guide includes everything needed for repair and rework of electronic assemblies and printed circuit boards! IPC-7711B/7721B Rework, Modification and Repair of Electronic Assemblies has received a complete procedure by procedure update to assure applicability to both lead free and traditional SnPb soldered assemblies. Order and download IPC-7711/7721B.




Purchase and Download IPC Standards For Printed Circuit Boards from Electronics.ca Publications today!


Effective January 1, 2015, a price increase of 4 percent will be implemented on all standards.




IPC Standards For PCB Design and CADIPC Member

 











New IPC Standards For Printed Circuit Boards

CMP Consumables Market Resumes Sustainable Growth

The global CMP consumables market has resumed its growth trajectory prior to the 2008-2009 recession. At over $2.0B, 2013 CMP slurry and pad revenues are up 6.0% over 2012, and 2014 is projected to be up an additional 2.2%, according to a new report  “CMP Consumables 2014 – A Critical Materials Report“.  By 2019, the combined CMP pad and slurry market is expected to grow to $2.5B for a CAGR of 3.9% over this period.


The overall slurry market share of Cabot Microelectronics, the historical market leader, is estimated to be stable at just over 36% globally. Aside from Cabot, market ranking can be identified only in the context of a specific process application, as each segment functions as an independent market. This results in a highly fragmented slurry market with over a dozen suppliers, each with a significant market share in at least one process area. The pad market is still led by Dow Chemical with about two-thirds share globally. Cabot Microelectronics remains the only supplier clearly in the Tier 2 pad group with an estimated 9-10% share compared to 1-5% each for six others.


New product development continues to dominate the R&D budgets of slurry manufacturers as new device structures and material set combinations move into high volume chip manufacturing, including CMP processes related to FinFET and high-κ metal gate technologies. Defect reduction requirements and tighter specs on removal uniformity continue their relentless march to planarization perfection in order to satisfy the demands of device manufacturing below 20nm.


CMP Consumables Market ReportThe 2014 CMP Consumables Report includes supply chain segments on Slurries and Abrasives; Pads; Slurry Filters; Pad Conditioners; Post-CMP Cleaning Chemicals; PVA Brushes; Equipment; Retaining Rings; and Support Services. Reports on Process Flows, University Research and a CMP retrospective comprise the remaining three segments. Each section, including the popular Slurry and Pad reports, can be purchased separately, with a discount for purchase of the entire set:


 


 


 



CMP Consumables Market Resumes Sustainable Growth

Global Market for Transformers to Reach $48.3 Billion in 2019

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Global Markets for Transformers“.  The global transformers market is expected to grow to $48.3 billion by 2019, with a five-year compound annual growth rate (CAGR) of 7.3%.  The Asia-Pacific market, the fastest-growing region of the overall market, is moving at a significant 8.1% CAGR.


Tasked with the regulation of voltage output, transformers are critical to the electricity supply chain. Globally, the demand for this technology is driven by the increasing demand for electricity, replacement of older transformers, and environmental concerns, particularly in India and China.


Indeed, the Asia-Pacific region is the largest and fastest growing segment of the global market. Valued at just $13.2 billion in 2013, the Asia-Pacific market is expected to surge to $21.1 billion by 2019. Such rapid growth is attributed to improving economic conditions and the increasing use of the region as a hub for Western markets to outsource the manufacture of their transformers.


Meanwhile, the European and North American markets are projected to grow at a healthy CAGR of 7.2% and 5%, respectively.


The upgrading of existing networks and replacement of older transformers in Western regions offer a huge growth opportunity for the transformer market. This is especially the case for power and traction transformers, which have high performance properties to increase energy efficiency and reduce electricity losses. However, in the longer-term, the developing regions, including China, Brazil, India and others, will create huge opportunities for the transformer market with the increasing demand for electricity and increasing electricity production.


Increasing raw material prices and stricter environmental regulations on carbon emission levels have forced companies to focus on the development of advanced transmission technologies that will comply with current and future environmental regulations. The pressure to develop environmentally friendly transformers is increasing even in the Asia-Pacific and other developing regions.


GLOBAL MARKETS FOR TRANSFORMERS provides an overview of the global markets for transformers and related technologies. It includes analyses of global market trends, with data from 2013, estimates for 2014, and projections of CAGRs through 2019.


 


SAMPLE FIGURE

GLOBAL TRENDS OF THE HYDRAULIC PUMP MARKET BY REGION, THROUGH 2019

($ MILLIONS)


GLOBAL TRENDS OF THE HYDRAULIC PUMP MARKET BY REGION, THROUGH 2019

Global Markets for Transformers



Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the reportGlobal Markets for Transformers.


 



Global Market for Transformers to Reach $48.3 Billion in 2019

Endoscopy Equipment Market worth $37.9 Billion by 2018

The “Endoscopy Equipment Market by Endoscopes (Rigid, Flexible, Capsule), by Visualization Systems (HD, 3D Camera, Wireless Display & Monitor), Others Endoscopic Ultrasound, Carts), by Application (Colonoscopy, GI Endoscopy) – Global Forecasts to 2018” analyzes and studies the major market drivers and restraints in North America, Europe, Asia, and the Rest of the World.  This report studies the global endoscopy equipment market over the forecast period of 2013 to 2018. The market is estimated at $28.2 billion in 2013 and is expected to reach $37.9 billion by 2018, growing at a CAGR of 6.1% from 2013 to 2018.


The global endoscopy equipment market is categorized into two broad segments—products and applications. Based on products, the endoscopy market comprises endoscopes, visualization equipment, and other instruments and accessories. The endoscopes market is further segmented into rigid endoscopes, flexible endoscopes, surgical endoscopes, and capsule endoscopes.

The market for visualization equipment is further segmented into endoscopy cameras, video processors, video convertors, camera heads, light sources, wireless displays and monitors, transmitters and receivers, and others.


The market for other instruments is broadly segmented into electronic and mechanical instruments. The electronic instruments segment comprises insufflators, endoscopy fluid management systems, endoscopy ultrasound systems, and others. The mechanical instruments segment comprises biopsy forceps, graspers, snares, trocars and cannulae, endoscopic implants, and others. The report also includes the endoscopic accessories market, which comprises carts/trolleys, cleaning brushes, overtubes, fluid flushing devices, biopsy valves, and others.


Over the years, the demand for endoscopy has increased significantly because of the growing preference for minimally invasive surgeries. Apart from being minimally invasive, endoscopic procedures are also cost effective in terms of pre- and post-operation care costs and length of stay at hospitals. The technological advancements and breakthroughs in the field of endoscopy are expected to drive the global endoscopy equipment market in the coming years. The advancements in technology have resulted in several improvisations, including increased angles in the field of view in endoscopes, reduced outer diameters of scopes, endoscopy systems that are integrated with high-resolution technologies such as 3D systems, capsule endoscopes, and miniaturized endoscopy systems. The other factors that are driving the growth of the global endoscopy equipment market include the favorable reimbursement scenario in select geographies, the growing aging population, and the increasing prevalence/incidence of diseases that require endoscopy procedures.


North America holds the largest share of the global endoscopy equipment market, followed by Europe and Asia. However, the growth in the endoscopy market is likely to be focused on the fast-growing Asian region owing to increased healthcare spending by the government in China; the increasing number of endoscopic surgeries; the improving endoscopic infrastructure in India; the establishment of training centers for endoscopy in India, China, Japan, and others; wide support for endoscopy-related research activities in Japan; and increased patient awareness about minimally invasive surgeries (MIS) in Asia. The Rest of World (RoW) region—which includes South America, the Middle East and North Africa, and the Pacific countries—is likely to witness steady growth in the endoscopy market. This is due to the increasing focus of key players on the Middle Eastern countries and Brazil, the increasing number of endoscopy training workshops and investments in Australia, and several government initiatives in New Zealand to develop an efficient workforce for endoscopy services.


The major players in the global endoscopy equipment market include Ethicon (Johnson & Johnson) (U.S.), Olympus Corporation (Japan), Covidien Plc (Ireland), Karl Storz GmbH (Germany), Boston Scientific, Inc. (U.S.), Stryker Corporation (Japan), Cook Medical Incorporated (U.S.), Hoya Corporation (Pentax Medical System) (Japan), Fujifilm Holding Corporation (Fujinon) (Japan), Richard Wolf GmbH (Germany), Smith and Nephew, Inc. (U.S.), and Conmed Corporation (U.S.)


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the Endoscopy Equipment Market Report.


 



Endoscopy Equipment Market worth $37.9 Billion by 2018

Wednesday, November 19, 2014

IPC Standards For PCB Design and CAD

IPC-7351B Requirements for Surface Mount Design and Land Pattern Standard


IPC-7351B includes both the standard and an IPC-7351B land pattern calculator on CD-ROM for accessing component and land pattern dimensional data. The calculator includes the document’s mathematical algorithms so users can build a land pattern for a corresponding surface mount part quickly and accurately.The tool also allows for modification of dimensional attributes of IPC approved land patterns.


This popular document covers land pattern design for all types of passive and active components, including resistors, capacitors, MELFs, SSOPs, TSSOPs, QFPs, BGAs, QFNs and SONs. The standard provides printed board designers with an intelligent land pattern naming convention, zero component rotations for CAD systems and three separate land pattern geometries for each component that allow the user to select a land pattern based on desired component density.


Revision B now includes land pattern design guidance and rules for component families such as resistor array packages, aluminum electrolytic capacitors, column and land grid arrays, flat lead devices (SOFL and SOTFL) and dual flat no-lead (DFN) devices. The revision also discusses the usage of thermal tabs and provides a new padstack naming convention that addresses the shape and dimensions of lands on different layers of printed boards.Purchasers also receive a 10-day trial of the IPC-7351 Land Pattern Wizard developed by Mentor Graphics, which is an advanced version of the IPC-7351B Land Pattern Calculator. The IPC-7351B Land Pattern Wizard tool enables users to not only save their land patterns within new land pattern library files, but also to instantly export land patterns to their preferred CAD format, such as Allegro, Board Station, Expedition, PADS, CADSTAR, OrCAD, Pantheon and P-CAD. 102 pages. Order and Download IPC Standard 7351B.

IPC-2221B Generic Standard on Printed Board Design


IPC-2221B is the foundation design standard for all documents in the IPC-2220 series and is included i the IPC-2220 series. It establishes the generic requirements for the design of printed boards and other forms of component mounting or interconnecting structures, whether single-sided, double-sided or multilayer. Among the many updates to Revision B are new criteria for conductor characteristics, surface finishes, via protection, board electrical test, dielectric properties, board housings, thermal stress, compliant pins, panelization and internal and external foil thicknesses. Appendix A provides new test coupon designs used for lot acceptance and quality conformance testing. Order and Download IPC Standard 2221B.


IPC-2220 Set of IPC Standards for PCB Design and CAD


The series is built around the IPC-2221B, Generic Standard on Printed Board Design, the base document that covers all generic requirements for printed board design, regardless of materials. From there, the designer chooses the appropriate sectional standard for a specific technology. IPC-2221B and all five sectional standards are included with the series: IPC-2222A, Sectional Design Standard for Rigid Organic Printed Boards; IPC-2223C, Sectional Design Standard for Flexible Printed Boards; IPC-2224, Sectional Standard for Design of PWBs for PC Cards; IPC-2225, Sectional Design Standard for Organic Multichip Modules (MCM-L) and MCM-L Assemblies; and IPC-2226, Sectional Design Standard for High Density Interconnect (HDI) Printed Boards. This series provides coverage on material and final finish selection, current carrying capacity and minimum electrical clearances, test specimen design, guidelines for V-groove scoring, dimensioning requirements and conductor thickness requirements. Order and Download IPC 2220 Family of Design Documents.


 


All IPC Standards are available from Electronics.ca Publications




IPC Standards For PCB Design and CADIPC Member

 




IPC Standards For PCB Design and CAD

MEMS Applications For Medical, Automotive, Industrial And Defense Are Showing Healthy Growth

ELECTRONICS.CA PUBLICATIONS, the electronics industry market research and knowledge network, announces the availability of a new report entitled “Status of the MEMS Industry 2014“. According to this report, MEMS industry is expected to grow at a CAGR a 13% CAGR through 2019, resulting in a $24B MEMS market. Of the aforementioned applications, medical will have the largest individual CAGR (24%).


Indeed, medical is expected to be the fastest-growing MEMS market, driven by miniaturization, wireless communication and decreased power consumption. Many changes are contributing to this market dynamic. For example:


  • The demand for in-home digital blood pressure monitors is growing rapidly, as they allow hypertension patients to monitor their blood pressure levels at home

  • Accelerometers are emerging in patient activity monitoring systems

Microfluidics is still the largest market with different trends, for example:


  • Integration of sample preparation into the chip in order to obtain total analysis systems

  • Microfluidic chip cost reduction to address the disposable devices market through manufacturing techniques

  • Increased chip density for multiplexing, research applications and genome analysis

  •  Integration of functions mainly done at system level

  •  For dispensers, the largest growth is expected in the inhaler market, which might find applications outside the respiratory disease market

On the devices side, chemical MEMS, infrared sensors and new magnetic MEMS are also new opportunities. For example, the major changes in consumer applications will come from the adoption of chemical and humidity sensors in smartphones. With Samsung integrating Sensirion’s component in the Galaxy S4, we foresee consistent adoption of chemical sensors. Despite the suppression of this sensor from the S5 series, and even though no other smartphones currently integrate chemical sensing, we still expect progressive adoption of chemical sensors in smartphones beginning in 2016 with small volumes series first (CO2 sensors, etc.), and ramping-up in 2018.


These are just a few examples of factors driving the MEMS market. Our MIS 2014 report presents a deep analysis for every MEMS market: automotive, consumer, medical, defense, aeronautics, industrial and telecommunications.


MEMS Industry Report Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View the report: Status of the MEMS Industry 2014.


 


KEY FEATURES OF THE REPORT


• Understanding of MEMS markets and applications

• Understanding of MEMS players’ strategies

• Evolution of competitive environment

• Analysis of MEMS technology challenges

• Analysis of the latest M&As and startups


WHAT’S NEW


• 2013-2019 MEMS markets (in units, $US and wafers)

• 2013 MEMS players ranking

• Description of 2013 MEMS applications: automotive, consumer, medical, defense, aeronautics, industrial, telecommunication

• Overview of current MEMS challenges: size reduction, cost pressure, packaging issues, new sensing principles, future manufacturing technologies

• Analysis of possible future technologies for sensors and MEMS

• Dedicated MEMS production analysis

• All MEMS devices and applications (220+ applications) are covered


COMPANIES CITED IN THE REPORT (non-exhaustive list)


AAC, Abbott, Advanced Micro Sensors, Advanced Thin Films, Aichi Mi, AKM, Alps Electric, Analog Devices, Apple, Asia Pacific Microsystems, ASMC, Autoliv, Avago Technologies, Axiom IC, Baxter, BD, Biocus Life Science, Bluechiip, BlueGnome, BMW, Boehringer Ingelheim microParts, Boston Scientific, Broadcom, bruco, Caliper Life Science, CANON, Cepheid, Colibrys, Consenic, Continental, CSMC, Delphi, DENSO, Digital Optics Corp, Discera, DNP, Domintech Technology, DRS, ELA Medical, EPCOS, Excelitas, First Sensor, FLIR Systems, FormFactor, Freescale Semiconducteurs, GE Healthcare, GE Sensing, Gettop, GLOBALFOUNDRIES, GoerTek, Goodrich-AIS, GSMC, GWIC, Hamamatsu, Handylab, Hewlett-Packard, Hillcrest Labs, Hitachi, HMT, Honeywell, Hosiden, HTC, Huigin, IMT, Infineon Technologies, Insound Medical, Intersil, InvenSense, JDS Uniphase, Kaiam, Kionix, Kistler, Knowles Electronics, Kulite, L3 com, Lab 901, Lemoptix, Lexmark, Life Technologies, Luxtera, Magnity, Magnotec, Maradin, MAS Oy, Maxim, mCube, Measurement Specialties, Mediatek, Medtronic, Meggitt’s Endevco, Melexis, Memjet, MEMS Foundry Itzehoe GmbH, MEMSCAP, MEMSENSING, MEMSIC, Micralyne, Micrel, Microfluidics International Corp., MicroLife, Micronics, MicroProbe, Microstrain, Microvision, Milwaukee Tools, MIRAMEMS, Mirrorcle, Motorola, Movea, MuOptics, MURATA, NetCrystal, Nike, Nintendo, Nippon Signal, Nokia, OLIVETTI, OLYMPUS, Omron, Panasonic, Phonak, Pioneer, Pixtronix, poLight, PYREOS, QMT, Quantalife, Raytheon, Respitronix, ROBERT BOSCH, Roche, Samsung, Santec, SCD, SEIKO EPSON, SEMEFAB, Senlution, SENODIA, SENSATA, Sensirion, Sensonor, Si Time, SigmaTech Inc, SiLabs, Silex Microsystems, SILICON SENSING SYSTEMS, Si-Ware, SMIC, SONY, Sorin, St Jude Medical, STMIicroelectronics, SYSTRON DONNER INERTIAL, Tamarack Scientific, Teledyne DALSA, Terumo, Tessera, Texas Instruments, The SmartPill Corporation, Touch Micro-system, Tronics Microsystems, TriQuint, Tronics Microsystems, TSMC, ULIS, UMC, UTC Aerospace Systems, VERATAG, Wavelens, WiSpry, WOLFSON, Xfab, Xsens, Yamaha, Yongsheng, ZMDI and many more.



MEMS Applications For Medical, Automotive, Industrial And Defense Are Showing Healthy Growth

iNEMI Roadmap 2014 New Initiatives

iNEMI (International Electronics Manufacturing Initiative) has identified nine new topics to be pursued for new project development this year. The potential projects are diverse, ranging from automotive (new materials and specifications for high-power and high-density PCBs used under the hood), to medical electronics (testing of implantable devices), to recommendations for high-reliability soldering of QFN-type packages for high-reliability applications.


How Initiatives were Identified

These new initiatives were identified through a survey of iNEMI members and the electronics industry at large to determine support for potential initiatives identified by the 2013 Roadmap and in subsequent gap analysis discussions.


We received input from 165 individuals representing a good mix of companies up and down the supply chain, along with research institutes and universities, and with strong input from all three major geographic regions.


Factors in the Final Choice

In making the final determination, we looked for critical mass in the number of organizations that indicated an interest in participating in a specific initiative, and assessed the potential leadership for these efforts. We also assessed our members’ interests and needs, along with several other factors.


Next Steps

We will develop formation teams for these nine initiatives between now and the end of Q2. People who volunteered to co-chair and who indicated interest in a specific topic will be asked to participate in the initial Statement of Work (SOW) definition. When the draft SOW is in place, we will issue a call for participation to all other interested parties.


The iNEMI Roadmap has become recognized as an important tool for defining the “state of the art” in the electronics industry as well as identifying emerging and disruptive technologies.  It also includes keys to developing future iNEMI projects and setting industry R&D priorities over the next 10 years.


The roadmap identifies major trends in the evolution of technology across numerous disciplines, with an emphasis on identifying potentially disruptive events (business and technology). It provides the information needed to identify critical technology and infrastructure gaps, prioritize R&D needs to meet those gaps, and initiate activities that address industry needs.


Through its roadmaps, iNEMI charts future opportunities and challenges for the electronics manufacturing industry.  Current version, the 2013 iNEMI Roadmap is the most comprehensive roadmap published to date by the International Electronics Manufacturing Initiative (iNEMI).  The 2013 Roadmap was developed by 20 Technology Working Groups (TWGs) in response to inputs regarding technology needs from representatives of OEMs in six Product Emulator Groups (PEGs).


iNEMI Roadmap 2013  can also be purchased by chapters from Electronics.ca Publications:


Product Emulator Groups


Business Technologies


Manufacturing Technologies


 



iNEMI Roadmap 2014 New Initiatives

Tuesday, November 18, 2014

IPC/WHMA-A-620B PDF Download - Requirements and Acceptance for Cable and Wire Harness Assemblies

IPC/WHMA-A-620 is a collection of visual Quality Acceptability Requirements for Cable, Wire and Harness Assemblies.  IPC/WHMA-A-620 can be used as a stand-alone document for purchasing products, however it does not specify frequency of in-process inspection or frequency of end product inspection. No limit is placed on the number of process indicators or the number of allowable repair/rework of defects. Such information should be developed with a statistical process control plan (see IPC-9191).


This publication describes acceptability criteria for producing crimped, mechanically secured, or soldered interconnections and the associated lacing/restraining criteria associated with cable and harness assemblies. It is not the intent of this document to exclude any acceptable procedure used to make the electrical connection; however, the methods used must produce completed assemblies that conform to the acceptability requirements described in this document.


Significant technical updates, greater ease-of-use and compatibility with other key assembly standards are among the many changes users will find in the newly released B revision of IPC/WHMA-A-620, Requirements and Acceptance for Cable and Wire Harness Assemblies. This important industry standard is a joint effort of IPC and the Wire Harness Manufacturers’ Association (WHMA).


The revision addresses more than 500 documented comments and recommendations from users throughout the industry and features 125 new or changed illustrations.


Some of the most extensive changes appear in the molding and potting section, which has been expanded for increased coverage of Class 2 and 3 requirements, including 31 new illustrations. The document also provides new criteria for wires as small as 32 AWG, and has a section on requirements flow down, which requires companies to have their subcontractors use the standard to ensure all hardware is manufactured to the same guidelines.


IPC WHMA A-620B PDF Download IPC WHMA A-620B PDF Download


IPC/WHMA-A-620B is 400 pages long and features 682 full-color illustrations.  IPC/WHMA-A-620 B is the latest revision of IPC A620,


Purchase and download IPC/WHMA-A-620B standard from Electronics.ca Publications. IPC standards are also available on CD-ROM.


Also Avaliable in Spanish, Chinese, German, Danish, Polish, and French Versions.


 



IPC/WHMA-A-620B PDF Download - Requirements and Acceptance for Cable and Wire Harness Assemblies

CCTV Market Outlook 2020

The CCTV market has risen exponentially in recent years across the globe due to rising concern for security and safety. Growing usage of CCTV in various sectors, such as hospitality, education, retail, etc. has created huge growth opportunities for CCTV manufacturers, distributors and operators. Over the past few years, many countries across the globe have been investing huge amounts and efforts to secure their cities and to monitor public movements. According to this report,  the market for global CCTV is expected to grow at a CAGR of around 12% during 2015-2020. Also as per the research’s findings, IP CCTV cameras currently dominate the global CCTV market, as many of the organizations are updating or installing new IP-based surveillance systems. Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications" web site. View the report: CCTV Market Outlook 2020.

Market for Gigabit and Above Connectors and Cable Assemblies is Expected to Top $9.4 Billion in 2014

The overall market for Gigabit and above connectors and cable assemblies is expected to top $9.4 billion in 2014. The largest market by far is Ethernet. While 40G Ethernet ports are now mainstream, 100G is just starting to be implemented in LANs. With a double-digit 5-year CAGR, 100G connector products and cable assemblies are anticipated to show significant growth, while sales of connector products and assemblies used in Gigabit Ethernet applications will decline significantly. Is your company prepared for this change? This new report will help your company prepare for this exciting and challenging time. Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications" web site. View the report: Multi-Gigabit Datacom Connectors and Cable Assemblies Market.

Monday, November 17, 2014

Soaring Sales of Consumer Electronic Devices Drives Growth in the Consumer Batteries Market

ELECTRONICS.CA PUBLICATIONS announces the availability of a comprehensive global report on Consumer Batteries markets. Global market for Consumer Batteries is projected to reach US$40.8 billion by 2020, driven by booming sales of consumer electronic devices and technology innovation in battery chemistries.


Market Research Report on Consumer Batteries


Batteries are ubiquitous energy storage devices that find application as an indispensable power source in consumer electronic products like home electronics, mobile devices, hearing aids, video game controls, cordless phones, camcorders, and cameras among others. Robust market for mobile phones and other digital consumer electronic products holds great potential for batteries. Arrival of numerous power hungry consumer electronic devices is spelling opportunities for efficient and long-lasting batteries. Demand in the market will continue to be fueled by the development of technologically advanced products, such as 3D TVs, smart remotes, tablets, and mobile phones.


Data hungry and energy-hogging consumer electronics will provide battery manufacturers with recurring sales and opportunities. Battery manufacturers are therefore focusing resources on researching and developing battery systems capable of meeting the ever growing power requirements of the digital world. Development of newer battery chemistries promises to bring in improvements in performance, reliability, durability, and efficiency. Growing need for high performance integrated battery solutions for use in rugged mobile computing platforms is additionally driving investments in R&D.


Secondary batteries, comprising Nickel Cadmium (NiCad), Nickel Metal Hydride (NiMH), and Lithium-Ion (Li-ion) based batteries,ominate the market. Given their varying technological lineage, the market prospects for each of these technologies differ substantially. NiCad battery technology is weighed down by environmental concerns over cadmium toxicity in addition to facing stiff competitive threat from other advanced competing technologies. Market shares of NiCad and Ni-MH are expected to gradually decline, as adoption of Li-ion increases. Additionally, NiCd and Ni-MH are also expected to feel the heat of the arrival of new chemistries such as Nickel-zinc and Silver-zinc in the market. Large-area polymer batteries and wide prismatic batteries exhibit strong growth prospects on the back of accelerating demand for high-end IT products such as smartphones, tablet PCs and ultrabooks, which require slim, lightweight and high-capacity batteries.


Lithium-ion is the most exciting battery chemistry in the market with growth supported by growing demand for portable consumer products. The expanding base of smartphone users worldwide is expected to especially benefit the market. With “innovation” becoming a key driver of growth, manufacturers are investing heavily in the development of smaller and thinner Li-Ion batteries. In the primary batteries market, long-term growth is forecast to be led by the transition from Zinc-carbon to alkaline.


As stated by the new market research report on Consumer Batteries, Asia-Pacific represents the largest and the fastest growing market worldwide. Growth in the region is led by China. China is currently the largest producer and one of the leading consumers of Li-ion batteries globally. The United States represents the second largest market with growth supported by continuous upgrades and innovations in product design, and strong replacement demand.


Major players in the market include Battery Technologies Inc., BYD Company Ltd., Electrochem Automation Inc., Energizer Holdings Inc., FDK Corp., GP Batteries International Ltd., Hitachi Maxell Ltd., Jiangmen TWD Technology Co. Ltd., LG Chem Co. Ltd., Panasonic Corp., Sanyo Electric Company Ltd., Procter & Gamble Company, Samsung SDI Co. Ltd., Sony Corp., Spectrum Brands Inc., VARTA Consumer Batteries GmbH & Co. KgaA, Tronic Technology Global Ltd., and Ultralife Batteries Inc., among others.


The research report titled “Consumer Batteries – Global Strategic Business Report”, provides a comprehensive review of market trends, growth drivers, new product introductions, and other strategic industry activities of major global players. The report provides market estimates and projections in US dollars for all major geographic markets including US, Canada, Japan, Europe (France, Germany, Italy, UK, Spain, Russia, and Rest of Europe), Asia-Pacific (China, India, South Korea, and Rest of Asia-Pacific), Middle East, and Latin America (Brazil and Rest of Latin America). Product markets analyzed include Primary Batteries (Zinc Carbon, Alkaline, and Primary Lithium) and Secondary Batteries (Nickel Cadmium, Nickel Metal Hydride, Lithium Ion, and Other secondary batteries).


market research report on consumer batteries Market Research Report on Consumer Batteries


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Complete Report Details: “Consumer Batteries – Global Strategic Business Report




Soaring Sales of Consumer Electronic Devices Drives Growth in the Consumer Batteries Market

Competition for Graphene: Researchers Demonstrate Ultrafast Charge Transfer in New Family of 2-D Semiconductors

A new argument has just been added to the growing case for graphene being bumped off its pedestal as the next big thing in the high-tech world by the two-dimensional semiconductors known as MX2 materials.Illustration of a MoS2/WS2 heterostructure with a MoS2 monolayer lying on top of a WS2 monolayer. Electrons and holes created by light are shown to separate into different layers. (Image courtesy of Feng Wang group)


MoS2 monolayer MX2 semiconductors Illustration of a MoS2/WS2 heterostructure with a MoS2 monolayer lying on top of a WS2 monolayer. Electrons and holes created by light are shown to separate into different layers. (Image courtesy of Feng Wang group)


An international collaboration of researchers led by a scientist with the U.S. Department of Energy (DOE)’s Lawrence Berkeley National Laboratory (Berkeley Lab) has reported the first experimental observation of ultrafast charge transfer in photo-excited MX2 materials. The recorded charge transfer time clocked in at under 50 femtoseconds, comparable to the fastest times recorded for organic photovoltaics.


“We’ve demonstrated, for the first time, efficient charge transfer in MX2 heterostructures through combined photoluminescence mapping and transient absorption measurements,” says Feng Wang, a condensed matter physicist with Berkeley Lab’s Materials Sciences Division and the University of California (UC) Berkeley’s Physics Department. “Having quantitatively determined charge transfer time to be less than 50 femtoseconds, our study suggests that MX2 heterostructures, with their remarkable electrical and optical properties and the rapid development of large-area synthesis, hold great promise for future photonic and optoelectronic applications.”


Wang is the corresponding author of a paper in Nature Nanotechnology describing this research. The paper is titled “Ultrafast charge transfer in atomically thin MoS2/WS2 heterostructures.” Co-authors are Xiaoping Hong, Jonghwan Kim, Su-Fei Shi, Yu Zhang, Chenhao Jin, Yinghui Sun, Sefaattin Tongay, Junqiao Wu and Yanfeng Zhang.


MX2 monolayers consist of a single layer of transition metal atoms, such as molybdenum (Mo) or tungsten (W), sandwiched between two layers of chalcogen atoms, such as sulfur (S). The resulting heterostructure is bound by the relatively weak intermolecular attraction known as the van der Waals force. These 2D semiconductors feature the same hexagonal “honeycombed” structure as graphene and superfast electrical conductance, but, unlike graphene, they have natural energy band-gaps. This facilitates their application in transistors and other electronic devices because, unlike graphene, their electrical conductance can be switched off.


“Combining different MX2 layers together allows one to control their physical properties,” says Wang, who is also an investigator with the Kavli Energy NanoSciences Institute (Kavli-ENSI). “For example, the combination of MoS2 and WS2 forms a type-II semiconductor that enables fast charge separation. The separation of photoexcited electrons and holes is essential for driving an electrical current in a photodetector or solar cell.”


In demonstrating the ultrafast charge separation capabilities of atomically thin samples of MoS2/WS2 heterostructures, Wang and his collaborators have opened up potentially rich new avenues, not only for photonics and optoelectronics, but also for photovoltaics.


“MX2 semiconductors have extremely strong optical absorption properties and compared with organic photovoltaic materials, have a crystalline structure and better electrical transport properties,” Wang says. “Factor in a femtosecond charge transfer rate and MX2 semiconductors provide an ideal way to spatially separate electrons and holes for electrical collection and utilization.”


Wang and his colleagues are studying the microscopic origins of charge transfer in MX2 heterostructures and the variation in charge transfer rates between different MX2 materials.


“We’re also interested in controlling the charge transfer process with external electrical fields as a means of utilizing MX2 heterostructures in photovoltaic devices,” Wang says.


Image: Illustration of a MoS2/WS2 heterostructure with a MoS2 monolayer lying on top of a WS2 monolayer. Electrons and holes created by light are shown to separate into different layers. (Image courtesy of Feng Wang group)


Additional Information


Lawrence Berkeley National Laboratory addresses the world’s most urgent scientific challenges by advancing sustainable energy, protecting human health, creating new materials, and revealing the origin and fate of the universe. Founded in 1931, Berkeley Lab’s scientific expertise has been recognized with 13 Nobel prizes. The University of California manages Berkeley Lab for the U.S. Department of Energy’s Office of Science.  For more, visit www.lbl.gov. – See more at: http://newscenter.lbl.gov/2014/08/26/competition-for-graphene/#sthash.BDDE2o1L.dpuf


Learn more about semiconductor market and publications that provide informed perspective and relevant analysis of emergent technologies.


 



Competition for Graphene: Researchers Demonstrate Ultrafast Charge Transfer in New Family of 2-D Semiconductors

Global Market for Biometric Technology to Reach $27.5 billion by 2019

ELECTRONICS.CA PUBLICATIONS announces the availability of a comprehensive global report on  Biometric Technology and Global Markets.  New report studies the global as well as regional markets for biometric technologies and devices, with a view to locate newer markets and to expand the present application market for various types of biometric devices. A realistic forecast has been made for the future market for different types of biometric technologies and devices. These biometric technologies and products are studied, with attention to their value globally, as well as in different regions, during the last three years and forecasted for the next five years. The application for each type of biometric technology is discussed in detail with a view to establishing global as well as regional usage. A future forecast has been made for such applications.


Biometric technologies are becoming the foundation for an extensive array of highly secure identification and personal verification solutions. A rapidly evolving technology widely used in forensics for criminal identification and in prison security, biometrics is quickly finding widespread application in a range of industries, including medicine, science, robotics, engineering, manufacturing, and all areas of vertical enterprise businesses. Technological advances, consumer and commercial acceptance and demand of the technology, as well as significant cost reductions across the industry will drive tremendous growth in this market for the foreseeable future.


According to the report, global biometric technologies market was estimated at $8.7 billion in 2013 and is expected to reach nearly $11.2 billion by 2014.   The market is projected to grow to nearly $27.5 billion by 2019, and register a five-year compound annual growth rate of 19.8% from 2014 to 2019.


Use this report to:


  • Gain an overview of the market for biometric technologies, including fingerprint, face, iris, vein, and voice technologies

  • Analyze market trends, with data from 2013, estimates for 2014, and projections of CAGRs through 2019

  • Assess variations in the growth of the industry in certain regions

  • Evaluate coverage of the competitive landscape, including mergers and acquisitions, collaborations and agreements, and new product development

  • Review comprehensive company profiles of major players in the industry

Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View the report: Biometrics:Technologies and Global Markets.


Partial List of Tables


Summary Table : GLOBAL MARKET FOR BIOMETRIC TECHNOLOGIES, THROUGH 2019

Table 1 : GLOBAL MARKET FOR BIOMETRIC TECHNOLOGIES, THROUGH 2019

Table 2 : REGIONAL MARKET FOR BIOMETRIC TECHNOLOGIES, THROUGH 2019

Table 3 : DISTRIBUTION OF NORTH AMERICAN MARKET FOR BIOMETRIC TECHNOLOGIES BY COUNTRY, THROUGH 2019

Table 4 : DISTRIBUTION OF EUROPEAN MARKET FOR BIOMETRIC TECHNOLOGIES BY COUNTRY, THROUGH 2019

Table 5 : DISTRIBUTION OF ASIA-PACIFIC MARKET FOR BIOMETRIC TECHNOLOGIES BY COUNTRY, THROUGH 2019

Table 6 : DISTRIBUTION OF REST OF THE WORLD MARKET FOR BIOMETRIC TECHNOLOGIES BY COUNTRY, THROUGH 2019

Table 7 : REGIONAL MARKET FOR FINGERPRINT RECOGNITION TECHNOLOGY, THROUGH 2019

Table 8 : REGIONAL MARKET FOR AUTOMATED FINGERPRINT IDENTIFICATION SYSTEM (AFIS)/LIVE SCAN TECHNOLOGIES, THROUGH 2019

Table 9 : REGIONAL MARKET FOR FACE RECOGNITION TECHNOLOGY, THROUGH 2019

Table 10 : REGIONAL MARKET FOR IRIS RECOGNITION TECHNOLOGY, THROUGH 2019

Table 11 : REGIONAL MARKET FOR VEIN RECOGNITION TECHNOLOGY, THROUGH 2019

Table 12 : REGIONAL MARKET FOR VOICE RECOGNITION TECHNOLOGY, THROUGH 2019

Table 13 : REGIONAL MARKET FOR HAND GEOMETRY TECHNOLOGY, THROUGH 2019

Table 14 : REGIONAL MARKET FOR SIGNATURE RECOGNITION TECHNOLOGY, THROUGH 2019

Table 15 : REGIONAL MARKET FOR EMERGING BIOMETRIC TECHNOLOGIES, THROUGH 2019

Table 16 : GLOBAL MARKET FOR BIOMETRIC APPLICATIONS, THROUGH 2019

Table 17 : REGIONAL MARKET FOR BIOMETRIC APPLICATIONS, THROUGH 2019

Table 18 : GLOBAL MARKET FOR BIOMETRIC IDENTIFICATION APPLICATIONS, THROUGH 2019

Table 19 : REGIONAL MARKET FOR BIOMETRICS IN IDENTIFICATION APPLICATIONS, THROUGH 2019

Table 20 : GLOBAL MARKET FOR BIOMETRICS IN CIVIL IDENTIFICATION APPLICATIONS, THROUGH 2019

Table 21 : GLOBAL MARKET FOR BIOMETRICS IN CRIMINAL IDENTIFICATION APPLICATIONS, THROUGH 2019

Table 22 : GLOBAL MARKET FOR BIOMETRICS IN CONSUMER IDENTIFICATION APPLICATIONS, THROUGH 2019

Table 23 : GLOBAL MARKET FOR BIOMETRICS IN ACCESS CONTROL APPLICATIONS, THROUGH 2019

Table 24 : REGIONAL MARKET FOR BIOMETRICS IN ACCESS CONTROL APPLICATIONS, THROUGH 2019

Table 25 : GLOBAL MARKET FOR BIOMETRICS IN LOGICAL ACCESS CONTROL APPLICATIONS, THROUGH 2019

Table 26 : GLOBAL MARKET FOR BIOMETRICS IN PHYSICAL ACCESS CONTROL APPLICATIONS, THROUGH 2019

Table 27 : GLOBAL MARKET FOR BIOMETRICS IN TRANSACTIONAL ACCESS CONTROL APPLICATIONS, THROUGH 2019

Table 28 : GLOBAL MARKET FOR BIOMETRICS IN SURVEILLANCE APPLICATIONS, THROUGH 2019

Table 29 : REGIONAL MARKET FOR BIOMETRICS IN SURVEILLANCE APPLICATIONS, THROUGH 2019

Table 30 : GLOBAL MARKET FOR BIOMETRICS IN MILITARY/BORDER CONTROL APPLICATIONS, THROUGH 2019

Table 31 : GLOBAL MARKET FOR BIOMETRICS IN FORENSIC AND LAW ENFORCEMENT APPLICATIONS, THROUGH 2019



Global Market for Biometric Technology to Reach $27.5 billion by 2019

Growing Security Concerns & Increasing Construction Activity Drive the Global Electronic Security Systems Market

ELECTRONICS.CA PUBLICATIONS announces the the release of a comprehensive global report on Electronic Security Systems markets. Global market for Electronic Security Systems is forecast to reach US$98.2 billion by 2020 driven by growing safety and security concerns across the globe and rising construction activity. Electronic Security relates to the use of technology and electronic devices for ensuring safety and security of people, property, physical assets and digital infrastructure, by tracking and preventing their unauthorized access.


Rising incidence of security infringements such as terrorist attacks, vandalism, and campus violence is brining electronic security into the spotlight as an integral part of the overall safety and security arrangements made by governments and commercial establishments across the globe. Electronic security systems such as, alarms, electronic access controls systems and CCTV/Video surveillance systems are witnessing steady growth. Although, the government sector continues to remain the largest end-use market for electronic security systems, future growth is forecast to come from increasing deployments in commercial establishments and households, due to heightened perceived threat of criminal activity and terrorism.


Given that majority of electronic security system installations, including alarms, electronic access control systems (EACS) and CCTV/surveillance equipment are carried out at residential, commercial, and office buildings, new building constructions represents a major growth driver in the market. Electronic security system installations have become primary components of building security plans for new housing constructions, commercial buildings such as malls, retail outlets, entertainment centers, new office buildings, government departments, banking and finance, IT and other private offices. While alarms are automatic buy for most new housing constructions, electronic access control system installations such as card-based or biometric access control systems and CCTV/Video surveillance are regular safety systems used in new commercial or office establishments. Construction of new public infrastructure facilities also generates demand for EACS and CCTV/video surveillance systems. Growing construction spends world over therefore augurs well for the future of this market.


The role of technology innovation in driving opportunities in the market cannot be undermined. Given consumers’ appetite for technologically superior, yet cost effective products, advanced security systems such as digital CCTV, IP based video surveillance, remote-monitoring systems, and IP based access control systems, among others, are poised to witness strong growth. Use of advanced cameras with high-mega pixel HD resolution and lowlight capabilities, mobile video recorders and remote display for CCTV/Video Surveillance, and contactless smart cards for access control, is increasing.


As stated by the new market research report on Electronic Security Systems, Asia-Pacific represents the largest and the fastest growing market worldwide with a projected CAGR of 9.5% over the analysis period. Growth in the region is led by stringent safety regulations that mandate installation of advanced security solutions in public spaces such as retail outlets, malls, multiplexes and entertainment centers; development of new urban settlements and townships; expanding commercial real-estate activity in existing urban centers; growth in consumer affluence and income; increase in business and commercial activity and subsequent increase in security spending at residential, commercial and enterprise levels.


Key players covered in the report include Allegion, ASSA ABLOY AB, Axis Communications AB, Bosch Group, Changzhou Minking Electronics Co. Ltd., Diebold Inc., DoorKing Inc., Fermax Electronica S.A.E, Global Security Solutions, Gunnebo AB, Honeywell International Inc., Huviron Co. Ltd., Identive Group Inc., Imprivata® Inc., Johnson Controls Inc., Kaba Group, Linear LLC, March Networks Corporation, NAPCO Security Technologies, Inc., PAC International Ltd., Panasonic Corporation, Schneider Electric, SAFRAN Group, Secom Co. Ltd., SecuGen Corporation, Siemens AG, Sony Electronics Inc., Toshiba America Inc., Tyco International Ltd., United Technologies Corporation, Chubb Fire and Security Limited, Chubb Edwards, Verisure Holdings AB, Vido Electronic Vertriebs Gmbh, and Yoko Technology Corp., among others.


The research report titled “Electronic Security Systems: A Global Strategic Business Report”, provides a comprehensive review of market trends, growth drivers, challenges, mergers, acquisitions and other strategic industry activities of major companies worldwide. Global market estimates and projections are provided in terms of dollars for major geographic markets including the United States, Canada, Japan, Europe (France, Germany, Italy, UK, Spain, Russia, and Rest of Europe), Asia-Pacific (China, India, and Rest of Asia-Pacific), Latin America (Brazil, and Rest of Latin America), and Rest of World. Product Segments analyzed in the report include Alarms, Electronic Access Control Systems, CCTV/Video Surveillance Equipment and Others.


Electronic Security systemsDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Complete Report Details: Electronic Security Systems: A Global Strategic Business Report“.


 


 



Growing Security Concerns & Increasing Construction Activity Drive the Global Electronic Security Systems Market

Demand for Electronic Computing Devices and Specialized Applications Spur Growth in the Optical Coatings Market

ELECTRONICS.CA PUBLICATIONS announces the availability of a comprehensive global report on Optical Coatings markets. Global market for Optical Coatings is projected to reach US$13.2 billion by 2020, backed by surging demand for electronic computing devices, increased penetration of photovoltaics, and expanding applications for innovative coatings.


Defined as thin layers of materials applied on substrates for enhancing optical performance, optical coatings find use in the manufacture of flat-screen displays and lenses. Surging demand for consumer electronic devices especially smartphones are driving growth in the market, as optical coatings are used in flat screen displays of these products to deliver increased display protection, maximum performance, and yield superior esthetics. The transition to LED lighting is also expected to augur well for the market, as optical coatings are increasingly being used in LEDs to help improve performance. Also, demand from specialized application areas such as Building Integrated Photovoltaics (BIPV) and the optoelectronics sector is anticipated to encourage gains in the market.


Opportunities for growth also exist in the architecture sector, backed by the recovery in the construction industry worldwide. Growing government focus on developing renewable energy sources in the wake of rising energy crisis, and resultant investment in solar /photovoltaic modules, is fostering demand for optical coatings. In addition, usage of optical coatings will continue to grow in the military and defense sector, thanks to steady rise in investments. Growing prominence of fiber-optics technologies in various medical devices for effective diagnosis, monitoring as well as treatment, is buoying adoption of optical coatings in the medical field. Increasing focus on minimally invasive techniques for surgery and adoption of miniature devices, are benefiting demand for innovative coatings. Also driving growth is the increasing penetration of laser systems and ophthalmic lenses. Rising demand for automobiles, particularly in the developing markets, wherein optical coatings are used in displays on vehicle dashboards, also augurs well for the market.


The telecommunications sector is also spurring growth opportunities, thanks to growing demand for fiber optic components. Anti-reflection coatings offer significant durability and adhesion for optical fibers used in this sector. Escalating demand for infrastructure that is energy efficient is also driving the market for antireflection coatings. High quality nanostructure-based antireflection coatings are under development for enhancing the performance of EO/IR sensors and photovoltaic modules.


As stated by the new market research report on Optical Coatings, the United States represents the largest market worldwide, while Asia-Pacific ranks as the fastest growing market with a CAGR of 11.1% over the analysis period. Growth in the region is supported by strong industrial activity, rising disposable incomes, and increased consumption of consumer durables.


Key players covered in the report include Abrisa Technologies, AccuCoat Inc., Align Optics Inc., Andover Corp., Brewer Science Inc., Dontech Inc., Evaporated Coatings Inc., Helia Photonics Ltd., Hoya Corp. USA, JDS Uniphase Corp., Newport Thin Film Laboratory Inc., Optical Coatings Japan, Optics Balzers AG, OptoSigma Corp., Inrad Optics Inc., Princeton Instruments, Quantum Coating Inc., Research Electro-Optics Inc., and Zygo Corp., among others.


The research report titled “Optical Coatings – Global Strategic Business Report”, provides a comprehensive review of market trends, issues, drivers, mergers, acquisitions and other strategic industry activities of major companies worldwide. The report provides market estimates and projections for all major geographic markets including the United States, Canada, Japan, Europe (France, Germany, Italy, UK, Spain, and Rest of Europe), Asia-Pacific (China, and Rest of Asia-Pacific), Latin America (Brazil and Rest of Latin America), and Rest of World. End-use sectors analyzed in the report include Healthcare, Military, Electronics, Transportation, Construction, and Others. Product Types analyzed include Transmissive or Anti-Reflective Coatings, Transparent Electrodes, Reflective Coatings, Filters, and Others.


Details of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site.  View Complete Report Details: “Optical Coatings – Global Strategic Business Report“.




Demand for Electronic Computing Devices and Specialized Applications Spur Growth in the Optical Coatings Market