Monday, February 1, 2016

Semiconductor Manufacturing Industry Analysis

ELECTRONICS.CA PUBLICATIONS announces the availability of a new report entitled “Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“, extending The Information Network’s semiconductor manufacturing industry analysis. This report profiles key semiconductor equipment suppliers such as Applied Materials, Axcelis Technologies, KLA-Tencor, Lam Research, Mattson Technology, Nanometrics, Rudolph Technologies, Ultratech, Veeco, Aixtron, ASM International, ASML. Carl Zeiss, Camtek, EV Group, LPE, Mycronic, Nova Measuring Instruments, Oerlikon/Evatec, Semilab, Advantest, Canon, Canon Anelva, Daifuku, Ebara, Hermes Microvision, Hitachi High-Technologies, Hitachi Kokusai Electric, JEOL, Jusung Engineering, KC Tech, Lasertec, Murata Machinery, Nikon, Nippon Sanso, Nissin Ion Equipment, NuFlare Technology, Screen Semiconductor Solutions, Tokyo Electron, Tokyo Seimitsu, Topcon Technohouse, Toray Engineering, Ulvac, Ushio, Wonik IPS.


Semiconductor Manufacturing Industry Analysis


According to this report, the front end equipment market, which grew 18.4% in 2014 based on revenues converted to dollars, the 2015 market will be essentially flat as shown in the table below.




























































Top 10 Semiconductor Front End Equipment Suppliers


2013 (a)2014 (a)2015 (f)
($B)($B)($B)
Applied Materials (AMAT)5.466.336.61
ASML (ASML)5.305.635.02
Tokyo Electron3.064.664.74
Lam Research LRCX)2.943.814.70
KLA-Tencor (KLAC)2.142.181.95
Screen Semiconductor Solutions1.221.130.94
Hitachi High-Technologies0.800.940.80
Nikon0.640.820.82
Hitachi Kokusai Electric0.500.600.64
ASM International (ASMI)0.460.560.58
  Total22.5226.6526.79
  Change18.4%0.5%
Source: The Information Network

A number of technical and operational trends within the semiconductor manufacturing industry are strengthening the need for more effective advanced equipment solutions.


Semiconductor Manufacturing Industry Trends:


  • Development of Smaller Semiconductor Features. The development of smaller features, now as small as 20nm in production and 10nm in R&D, enables semiconductor manufacturers to produce larger numbers of circuits per wafer and to achieve higher circuit performance.

  • Transition to 3D device structures. Foundries are adopting 3D FinFET transistors starting at 14/16 nm technology nodes to get improved performance and use less power in 1x technology nodes. Memory makers will move to 3D NAND and vertical structures for next generation NAND technology

  • Transition to 3D Integration Technology. Three-dimensional (3D) integration of active devices, directly connecting multiple IC chips, offers many benefits, including power efficiency, performance enhancements, significant product miniaturization, and cost reduction. It provides an additional way to extend Moore’s law beyond spending ever-increasing efforts to shrink feature sizes. A critical element in enabling 3D integration is the Through-Silicon Via (TSV); TSV provides the high-bandwidth interconnection between stacked chips. The TSV process is beginning to enter production. In the case of TSV, since multiple chips are connected, the process has to achieve and maintain very high yield levels in order to be economically viable.

  • Shortening of Technology Life Cycles. The technology life cycle of integrated circuits continues to shorten as semiconductor manufacturers strive to adopt new processes that allow a faster transition to smaller, faster and more complex devices. In the past, the technology life cycle was approximately three years; it is now only two years.

  • New materials. Copper metal layers continue to be the key material for the back end of line for advanced integrated circuits in order to increase performance and reduce the cost of integrated circuits. The Industry is continuously searching directions to reduce the effective K of the low K materials and to reduce the barrier thickness and material types. These changes require new processing and metrology equipment and thus represents challenging developments for the semiconductor manufacturing industry. In addition, in order to overcome limitations in the continued shrink of transistor dimensions, leading edge integrated circuit manufacturers are introducing new materials in the transistor gate stack. The adoption of high-k dielectrics is a key element for gate control in the most advanced technology nodes of 28nm, 20nm and 14nm currently in production, while R&D work to implement the next gate control material being done with III-IV materials. These new materials, combined with metal layers, require new processing and metrology equipment and thus represent a challenging development for the semiconductor manufacturing industry.

  • Increasing use of multi patterning lithography. The continuous need for scaling to meet reduced transistor costs combined with delays in EUV lithography is pushing the industry to develop alternative lithography techniques such as multi patterning, DSA and E-Beam. These alternative technology are increasing the Etch and CMP process steps and thus increasing the process control and metrology steps in these areas accordingly.

  • Increase in Foundry Manufacturing. Asa result of the rising investment needed for semiconductor process development and production as well as the proliferation of different types of semiconductors, semiconductor manufacturing is increasingly being outsourced to large semiconductor contract manufacturers, or foundries. A foundry typically runs several different processes and makes hundreds to thousands of different semiconductor product types in one facility, making the maintenance of a constant high production yield and overall equipment efficiency more difficult to achieve. This trend of shifting to foundries for manufacturing needs has progressed even further during recent years.

Semiconductor Manufacturing Industry AnalysisSemiconductor Manufacturing Industry AnalysisDetails of the new report, table of contents and ordering information can be found on Electronics.ca Publications’ web site. View Report Contents:Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts“.



Semiconductor Manufacturing Industry Analysis

No comments: